V. Bhaskaran and K. Konstantinides, Image and video compression standards algorithms and architectures Kluwer academic publishers, 1996.

D. Santa-cruz, T. Chen, F. Liang-gee-chen-adams, and . Kossentini, [3] Diego Santa-Cruz, Raphaël Grosbois and Touradj Ebrahimi. JPEG 2000 performance evaluation and assessment. Signal Processing: Image Communication Analysis and Architecture Design of EBCOT for JPEG, Proc. of the International Conference on Image Processing IEEE, International Symposium on Circuits and Systems JasPer : a Software-Based JPEG2000 Codec Implementation, pp.49-52, 2000.

M. Antonini, M. Barlaud, P. Mathieu, I. Daubechies-bhaskaran, K. Konstantinides et al., Adams, Reversible wavelet transforms and their application to embedded image compression JPEG2000 Part I Final Draft International Standard Image and Video Compression Standards: Algorithms and Applications Handbook of Image & Video Processing Scalable image coding using reversible integer wavelet transforms An Algorithm For Low Memory Wavelet Image Compression, Image coding using the wavelet Transform WG1 N1855 Proc. IEEE Int. Conf. Image Processing Line-Based, Reduced Memory The JPEG 2000 still image coding system : An overview, pp.205-220, 1972.

M. C. Larabi, N. Richard, and C. Fernandez-maloigne, Core experiment result on color spaces, ISO/IEC JTC1/SC29/WG1 N21, 2000.

D. , L. Gall, and A. Tabatabai, Subband Coding of Digital Images Using Symmetric Short Kernel Filters and Arithmetic Coding Techniques, Proc IEEE Int. Conf. ASSP, NY, pp.761-765, 1988.

M. J. Gormish, D. Lee, and M. W. Marcellin, JPEG 2000: overview, architecture, and applications, Proceedings 2000 International Conference on Image Processing (Cat. No.00CH37101), pp.29-32, 2000.
DOI : 10.1109/ICIP.2000.899217

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.90.1728

V. K. Goyal, Theoretical foundations of transform coding, Proc. Magazine, pp.9-21, 2001.
DOI : 10.1109/79.952802

J. Kovacevic and W. Sweldens, Wavelet families of increasing order in arbitrary dimensions, IEEE Transactions on Image Processing, vol.9, issue.3, pp.480-496, 2000.
DOI : 10.1109/83.826784

E. Martinez-uriegas, Multiple Color Spaces and Component Transforms " in JPX : Update from WG1- 1745 for Part II, 2000.

M. W. Marcellin, M. Gormish, A. Bilgin, and M. Boliek, An Overview of JPEG, Proc. IEEE Data Compression Conference, pp.523-541, 2000.

M. J. Nadenau and J. , Opponent Color, Human Vision and Wavelets fir Image Compression, Proc. Of the 7th Color Imaging Conference, pp.237-242, 1999.

T. Newman, Specifying the color space of encoded images, 1999.

I. N575, JPEG-LS (14495) Final CD, 1997.

I. N1271, Requirements and Profiles, 1999.

W. B. Pennebaker and J. L. , JPEG: Still Image Data Compression Standard, 1993.

K. R. Rao and J. J. Hwang, Techniques and Standards for Image, Video and Audio Coding, 1996.

D. , S. Cruz, and T. Ebrahimi, An Analytical Study of the JPEG2000 Functionalities, Proc. IEEE Int. Conf. Image Processing, pp.49-52, 2000.

A. Skodras, C. Christopoulos, and T. Ebrahimi, The JPEG 2000 still image compression standard, IEEE Signal Processing Magazine, vol.18, issue.5, pp.36-58, 2001.
DOI : 10.1109/79.952804

W. Sweldens, The Lifting Scheme: A Custom-Design Construction of Biorthogonal Wavelets, Applied and Computational Harmonic Analysis, vol.3, issue.2, pp.186-200, 1996.
DOI : 10.1006/acha.1996.0015

W. Sweldens, The Lifting Scheme: A Construction of Second Generation Wavelets, SIAM Journal on Mathematical Analysis, vol.29, issue.2, pp.511-546, 1997.
DOI : 10.1137/S0036141095289051

B. E. Usevitch, A tutorial on modern lossy wavelet image compression: foundations of JPEG 2000, IEEE Signal Processing Magazine, vol.18, issue.5, pp.22-35, 2000.
DOI : 10.1109/79.952803

M. Vetterli, Wavelets, approximation, and compression, IEEE Signal Processing Magazine, vol.18, issue.5, pp.59-73, 2001.
DOI : 10.1109/79.952805

H. Fang, C. Huang, Y. Chang, T. Wang, P. Tseng et al., 81MS/s JPEG 2000 Single Chip Encoder with Rate Distortion Optimization, IEEE International Solid-State Circuits Conference, 2004.

H. Yamauchi, K. Mochizuki, K. Taketa, T. Watanabe, T. Mori et al., A 1440x1080 Pixels 30 Frames/sec Motion- JPEG2000 Codec for HD Movie Transmission, IEEE International Solid-State Circuits Conference, 2004.

H. Yamauchi, S. Okada, K. Taketa, T. Ohyama, Y. Matsuda et al., Image processor capable of block-noise-free JPEG2000 compression with 30 frames/s for digital camera applications " ; IEEE International Solid-State Circuits Conference, pp.46-477, 2003.

S. Fossel, G. Fottinger, and J. Mohr, Motion JPEG2000 for high quality video systems, Consumer Electronics, pp.787-791, 2003.
DOI : 10.1109/TCE.2003.1261156

M. Djafarian, K. Chaoui, J. Mazzocco, D. Masse, and Y. , Enabling JPEG2000 on 3G wireless mobiles " , through OMAP/spl trade/ architecture Peresse Acoustics, Speech, and Signal Processing, Proceedings. (ICASSP '02). IEEE International Conference on, pp.3796-3799, 2002.

G. K. Anastassopoulos, A. D. Tsalkidis, I. Stephanakis, G. Mandellos, and K. Simopoulos, Application of JPEG 2000 compression in medical database image data " ; Digital Signal Processing, 14th International Conference on, pp.539-542, 2002.

H. Zhou, Implementation of JPEG2000 codec on a fixedpoint DSP, Consumer Electronics, 2001. ICCE. International Conference on, pp.128-129

H. Chang, L. Cooke, M. Hunt, G. Martin, A. Mcnelly et al., Surviving the SOC Revolution : A Guide to Platform-Based Design A CoDesign Experience with the MCSE Methodology CoDesign with the MCSE Methodology, Proceedings of the Third International Workshop on Hardware/Software Codesign EUROMICRO 94. System Architecture and Integration. Proceedings of the 20th EUROMICRO Conference, pp.140-147, 1994.

S. Karam, R. Chatah, and . Vemuri, MAGELLAN : Multiway Hardware Software Partitioning and Scheduling for Latency Minimization of Hierarchical Control-Dataflow Task Graphs, 9th International Workshop on Hardware/Software Co-Design, 2001.

A. Kalavade and E. A. Lee, The Extended Partitioning Problem: Hardware/Software Mapping, Scheduling, and Implementation-bin Selection The Morgan Kaufmann Systems On Silicon Series, Readings in hardware/software co-design, Section: System-level partitioning, synthesis, and interfacing Pages, pp.293-312, 2001.

A. Kalavade and P. A. Subrahmanyam, Hardware/software partitioning for multi-function systems, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD) ICCAD-97, pp.516-521, 1997.
DOI : 10.1109/ICCAD.1997.643588

K. Niraj, B. P. Jha, G. Dave, and . Lakshminarayana, COSYN: Hardware-Software Co-synthesis of Embedded Systems, Design Automation Conference, 34th Conference on (DAC'97), 1997.

R. P. Dick and N. K. Jha, MOGAC : A Multiobjective Genetic Algorithm for Hardware-Software Cosynthesis of Distributed Embedded Systems Scheduling for Embedded Real-Time Systems, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems IEEE Design and Test, vol.10, pp.17920-935, 1998.

K. Chatta and R. Vemuri, Hardware-Software Codesign for Dynamically Reconfigurable Architectures, Proc. of FPL'99, 1999.
DOI : 10.1007/978-3-540-48302-1_18

J. Fleischman, A hardware/software Prototyping Environment for Dynamically Reconfigurable Embedded Systems, Proc. CODES'98, 1998.

S. Hauck, Configuration Prefetch for Single Context Reconfigurable Co-processors, Proc. ACM/SIGDA Int. Symp. FPGA, pp.65-74, 1998.

B. Jeong, Hardware/Software Cosynthesis for Run-Time Incremen-tally Reconfigurable FPGA's, Proc. of Asia South-Pacific Design Au-tomation Conf. (ASP-DAC'2000), pp.43-48, 2000.

Y. Li, Hardware-software co-design of embedded reconfigurable architectures, Proceedings of the 37th conference on Design automation , DAC '00, 2000.
DOI : 10.1145/337292.337559

R. Maestre, F. J. Kurdahi, N. Bagerzadeh, H. Singh, R. Hermida et al., Kernel scheduling in reconfigurable computing, Proceedings of the conference on Design, automation and test in Europe , DATE '99, 1999.
DOI : 10.1145/307418.307460

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.21.8193

V. Mooney and G. Micheli, Real Time Analysis and Priority Scheduler Generation for Hardware- Software Systems with a Synthe-Sized Run-Time System, Proc. Int. Conf. Computer-Aided Design (ICCAD'97), pp.605-612, 1997.

E. Abke and . Barke, A direct mapping system for datapath module and FSM implementation into LUT-based FPGAs, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition, pp.1085-1089, 2002.
DOI : 10.1109/DATE.2002.998441

R. Venkata, W. Wong, T. Tran, V. Chan, T. Hoang et al., Architecture and Methodology of a SOPC with 3.25 Gbps CDR Based Serdes and 1 Gbps Dynamic Phase Alignment, Custom Integrated Circuits Conference Proceedings of the IEEE 2003, pp.659-662, 2003.

H. Takada, S. Honda, R. Nishiyama, and H. Yuyama, Hardware/software co-configuration for multiprocessor SoPC (work-in-progress report), Proceedings IEEE Workshop on Software Technologies for Future Embedded Systems. WSTFES 2003, pp.7-8, 2003.
DOI : 10.1109/WSTFES.2003.1201350

T. Lee and N. W. Bergmann, An Interface Methodology for Retargetable FPGA Peripherals, Proceedings of the 3rd International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA), 2003.

H. Kalte, D. Langen, E. Vonnahme, A. Brinkmann, and U. Ruckert, Dynamically reconfigurable system-on-programmable-chip, Proceedings 10th Euromicro Workshop on Parallel, Distributed and Network-based Processing, pp.235-242, 2002.
DOI : 10.1109/EMPDP.2002.994277

J. A. De-oliveira-filho, M. E. De-lima, and P. R. Maciel, Petri net based interface analysis for fast IP-core integration, First ACM and IEEE International Conference on Formal Methods and Models for Co-Design, 2003. MEMOCODE '03. Proceedings., pp.34-42, 2003.
DOI : 10.1109/MEMCOD.2003.1210084

S. Sezer, C. Toal, and X. Yu, A pipelined SoPC architecture for data link layer protocol processing, IEEE International [Systems-on-Chip] SOC Conference, 2003. Proceedings., pp.277-278, 2003.
DOI : 10.1109/SOC.2003.1241519

R. Czarnecki, S. Deniziak, and K. Sapiecha, An interactive improvement Co-Synthesis Algorithm for Optimization of SOPC Architecture with Dynamically Reconfigurable FPGAs, Euromicro Symposium on Digital System Design, pp.443-446, 2003.

B. Amer, Exploration et Conception Systématique d'Architectures Multiprocesseurs Monopuces Dédiées a des Applications Spécifiques, Thèse préparée au laboratoire TIMA, 2002.

T. Murata, Petri nets: Properties, analysis and applications, Proceedings of the IEEE, vol.77, issue.4, pp.77541-580, 1989.
DOI : 10.1109/5.24143

. Spartan-ii-2, 5V FPGA Family: Complete Data Sheet, www.xilinx.com [31] Virtex? 2.5 V Field Programmable Gate Arrays, www.xilinx.com [32] Virtex-II Platform FPGAs: Complete Data Sheet

J. Rose, A. Gamal, and . Sangiovanni-vincentelli, Architecture of field-programmable gate arrays, Proceedings of the IEEE, pp.81-1013, 1993.
DOI : 10.1109/5.231340

M. Xiaojun and T. Jiarong, Design and implementation of a new FPGA architecture " ; Proceedings, 5th International Conference on ASIC, pp.816-819, 2003.

S. Brown, FPGA architectural research: a survey " ; Design & Test of Computers, IEEE, vol.13, issue.4s, pp.9-15, 1996.

E. B. Bourennane, S. Bouchoux, J. Miteran, M. Paindavoine, and S. Bouillant, Cost comparison of image rotation implementations on static and dynamic reconfigurable FPGAs, IEEE International Conference on Acoustics Speech and Signal Processing, pp.3176-3179, 2002.
DOI : 10.1109/ICASSP.2002.1005362

J. Liang, R. Tessier, and D. Goeckel, A Dynamically-Reconfigurable, Power-Efficient Turbo Decoder, 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, pp.91-100, 2004.
DOI : 10.1109/FCCM.2004.3

J. M. Gerard, P. J. Smit, L. T. Havinga, P. M. Smit, M. A. Heysters et al., Dynamic reconfiguration in mobile systems, Field-Programmable Logic and Applications, pp.171-181, 2002.

J. M. Paul, L. T. Havinga, G. J. Smit, M. Smit, P. M. Bos et al., Energy management for dynamically reconfigurable heterogenous mobile systems, Proceedings of the Heterogeneous Computing Workshop, 2001.

S. Bouchoux, E. Bourennane, J. Miteran, and M. Paindavoine, Implementation of JPEG2000 arithmetic decoder on a dynamically reconfigurable ATMEL FPGA, IEEE Computer Society Annual Symposium on VLSI, 2004.
DOI : 10.1109/ISVLSI.2004.1339540

M. D. Références, Kakadu Software www.kakadusoftware.com [3] ISO/IEC 9945-1: Information Technology ? JPEG 2000 image coding system ? Part 5: Reference Software IA-32 Intel Architecture Software Developer's Manual Instruction Set Reference, Intel Corp.www.intel.com [6] IA-32 Intel Architecture Software Developer's Manual, Jasper Software Reference Manual IA-32 Intel Architecture Software Developer's Manual : System Programming Guide, Intel Corp.www.intel.com [7] Pentium III Reference Manual [8] Pentium II Processor Developer's Manual, Intel Corp The Microarchitecture of the Pentium 4 Processor, 2001.

S. Thakkar and T. Huff, Internet Streaming SIMD Extensions, Computer, vol.32, issue.12, 1999.
DOI : 10.1109/2.809248

J. H. Wolf and I. , Programming Methods for the Pentium III Processor's Streaming SIMD Extensions Using the VTune Performance Enhanacement Environement, Intel Technology Journal, vol.2, 1999.

A. Bik, Efficient Exploitation of Parallelism on Pentium III and Pentium 4 Processor-Based Systems, Intel Technology Journal Q1, 2001.

R. Allen and K. Kennedy, Optimizing Compilers for Modern Architectures: A Dependence-based Approach, 2001.

J. Hennessy and D. Patterson, Computer Organization & Design-The HardwareSofwtare Interface, 1998.

J. L. Henning, SPEC CPU 2000: Measuring CPU Performance in the New Millennium, IEEE Computer, pp.28-53, 2000.

R. Cooksey and D. Grunwald, Characterization of the SPEC2000 Benchmark Suite, p.7

R. Blake and J. S. Breese, Automatic Bottleneck Detection, 1995.

D. Kaeli, Parameter Value Characterization of Windows-NT based applications " , Workload Characterization: Methodology and Case Studies, pp.142-149, 1999.

D. Lee, P. Crowley, J. Baer, T. Anderson, and B. Bershad, Execution Characteristics of Desktop Applications on Windows NT, 25th Annual International Symposium on Computer Architecture (ISCA), 1998.

P. G. Emma and P. Development, Understanding some simple processor-performance limits, IBM Journal of Research and Development, vol.41, issue.3, pp.215-232, 1997.
DOI : 10.1147/rd.413.0215

C. Basoglu, W. Lee, and J. S. , The MAP1000A VLIM mediaprocessor, IEEE Micro, vol.20, issue.2, pp.48-59, 2000.
DOI : 10.1109/40.848472

K. Diefendorff, P. K. Dubey, R. Hochsprung, and H. Scales, AltiVec extension to PowerPC accelerates media processing, IEEE Micro, vol.20, issue.2, pp.85-95, 2000.
DOI : 10.1109/40.848475

J. Ahn and W. Sung, Multimedia processor-based implementation of an error-diffusion halftoning algorithm exploiting subword parallelism, IEEE Transactions on Circuits and Systems for Video Technology, vol.11, issue.2, pp.129-138, 2001.
DOI : 10.1109/76.905980

C. Yoon, R. Woo, and J. Kook, An 80/20-MHz 160-mW multimedia processor integrated with embedded DRAM, MPEG-4 accelerator and 3-D rendering engine for mobile applications, IEEE Journal of Solid-State Circuits, vol.36, issue.11, pp.1758-1767, 2001.
DOI : 10.1109/4.962299

T. Koyama, K. Inoue, H. Hanaki, M. Yasue, and E. Iwata, A 250-MHz single-chip multiprocessor for audio and video signal processing, IEEE Journal of Solid-State Circuits, vol.36, issue.11, pp.1768-1774, 2001.
DOI : 10.1109/4.962300

H. Okano, An 8-way VLIW embedded multimedia processor built in 7-layer metal 0.11 /spl mu/m CMOS technology, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315), 2002.
DOI : 10.1109/ISSCC.2002.993088

J. Smith and A. Dhodapkar, 3Dynamic Microarchitecture Adaptation via Co-Designed Virtual Machines, IEEE International Solid-state Circuits Conference, 2002.
DOI : 10.1109/isscc.2002.992190

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.19.5654

H. Chang, Surviving the SOC Revolution ? A Guide to Platform-Based Design, 1999.

R. Seepold, Virtual Components Design and Reuse, Kluwer Academic Pub, 2001.
DOI : 10.1007/978-1-4757-3275-7

S. Swan, An Introduction to System Level Modeling in SystemC 2, 2001.

D. Taubman and M. W. Marcellin, JPEG2000 ? Image Compression Fundamentals, Standards and Practice, 2001.

J. Ahn and W. Sung, Multimedia processor-based implementation of an error-diffusion halftoning algorithm exploiting subword parallelism, IEEE Transactions on Circuits and Systems for Video Technology, vol.11, issue.2, pp.129-138, 2001.
DOI : 10.1109/76.905980

G. Slavenburg, TM1000 Preliminary Data Book, 1997.

G. Slavenburg, TM1100 Preliminary Data Book, 1999.

G. Slavenburg, TM-1300 Media Processor Data Book http://www.semiconductors.philips.com/ [49] " TMS320C6000 Optimizing Compiler User's Guide, Literature Number: SPRU187I TMS320C6000 CPU and Instruction Set Reference Guide Literature Number: SPRU189F, pp.1-1, 2000.

T. 11c, 11D Floating-Point Digital Signal Processors (Rev. L) 31, 2000.

G. D. Hines, Z. Rahman, D. J. Jobson, G. A. Woodell, and S. D. Harrah, Real-Time Enhanced Vision System, SPIE Defense & Security Symposium, 2005.

G. Hines, Z. Rahman, D. Jobson, and G. Woodell, DSP Implementation of the Retinex Image Enhancement Algorithm, SPIE Defense & Security Symposium, 2004.

T. Cosmo, A. Maso, and G. A. Mian, Real Time Implementation of the HVXC MPEG-4 Speech Coder, 5th international conference on digital audio effects DAFx-02, 2002.

S. Gnavi, B. Penna, M. Grangetto, E. Magli, and G. Olmo, Wavelet Kernels on a DSP: A Comparison between Lifting and Filter Banks for Image Coding, EURASIP Journal on Advances in Signal Processing, vol.2002, issue.9, pp.981-989, 2002.
DOI : 10.1155/S1110865702204126

P. O. Jara, I. Garcia, and B. Usevitch, Analysis and Optimization of JPEG2000 in the TMS320C6701, Global Signal Processing Expo, 2003.

R. B. Blander, D. Czenkusch, R. Devins, and R. Stever-div, www.windriver.com/products/development_tools/development_boards/sbc405gp An embedded PowerPC TM SOC for test and measurement applications, Essex Junction; ASIC/SOC Conference. Proceedings. 13th Annual IEEEceloxica.com [8] RC1000-PP Hardware Reference Manual, version 2.22, 2000.

K. Andra, C. Chakrabarti, and T. Acharya, A High-Performance JPEG2000 Architecture, IEEE Transactions on Circuits and Systems for Video Technology, issue.3, pp.209-218, 2003.

C. Lian, H. Kuan-fu-chen, L. Chen, and . Chen, Analysis and Architecture Design of Block Coding Engine for EBCOT in JPEG2000, IEEE Transactions on Circuits and Systems for Video Technology, pp.219-230, 2003.

Y. Li, R. E. Aly, B. Wilson, and M. A. Batoumi, Analysis and Enhancement for EBCOT in High Speed JPEG2000 Architectures MWSCAS-2002. The, 45th Midwest Symposium on, pp.207-210, 2002.

P. Schumacher, M. Paluszkiewicz, and R. Turney, Analysis of a JPEG2000 Encoder Implemented on a Platform FPGA, Global Signal Processing Expo, 2004.

H. Chen, C. Lian, T. Chang, and L. Chen, Analysis of EBCOT Decoding Algorithm and its VLSI Implementation for JPEG2000, ISCAS 2002 IEEE International Symposium on Circuits and Systems, 2002.

J. Shiun-chiang, Y. Lin, and C. Hsieh, Efficient pass-parallel architecture for EBCOT in JPEG2000, 2002 IEEE International Symposium on Circuits and Systems. Proceedings (Cat. No.02CH37353), 2002.
DOI : 10.1109/ISCAS.2002.1009955

K. Andra, T. Acharya, and C. Chakrabarti, Efficient VLSI Implementation of Bitplane Coder of JPEG2000, Proc. of SPIE Applications of Digital Image Processing, 2001.

H. Tsutui, T. Masuzaki, T. Izumi, T. Onoye, and Y. Nakamura, High Speed JPEG2000 Encoder by Configurable Processor, Asia-Pacific Conference on Circuits and Systems, 2002. APCCAS '02, pp.45-50, 2002.

H. Fang, T. Wang, C. Lian, T. Chang, and L. Chen, High Speed Memory Efficient EBCOT Architecture for JPEG2000, Proceedings of the 2003 International Symposium on Circuits and Systems, 2003. ISCAS '03, pp.736-739, 2003.

Y. Hsiao, H. Lin, . Kun-bin, C. Lee, and . Jen, High Speed Memory Saving Architecture for the Embedded Block Coding in JPEG, IEEE International Symposium on Circuits and Systems, pp.133-136, 2000.

M. Dyer, D. Taubman, and S. Nooshabadi, Memory efficient pass-parallel architecture for JPEG2000 encoding, Seventh International Symposium on Signal Processing and Its Applications, 2003. Proceedings., 2003.
DOI : 10.1109/ISSPA.2003.1224638

M. Chiu, . Kun-bin, C. Lee, and . Jen, Optimal Data Transfer and Buffering Schemes for JPEG2000 Encoder, IEEE Workshop on Signal Processing Systems, pp.177-182, 2003.

P. Meerwald, R. Norcen, and A. Uhl, Parallel JPEG2000 image coding on multiprocessors, Proceedings 16th International Parallel and Distributed Processing Symposium, 2002.
DOI : 10.1109/IPDPS.2002.1015469

P. Schumacher, An Efficient, Optimized JPEG2000 Tier-1 Coder Hardware Implementation, Proceedings of the SPIE, pp.1089-1096, 2003.

H. Fang, C. Huang, Y. Chang, T. Wang, P. Tseng et al., 81MS/s JPEG 2000 Single Chip Encoder with Rate Distortion Optimization, IEEE International Solid-State Circuits Conference, 2004.

H. Yamauchi, K. Mochizuki, K. Taketa, T. Watanabe, T. Mori et al., A 1440x1080 Pixels 30 Frames/sec Motion- JPEG2000 Codec for HD Movie Transmission, IEEE International Solid-State Circuits Conference, 2004.

M. Gangadhar and D. Bhatia, FPGA based EBCOT Architecture for JPEG2000, IEEE International Conference on Field-Programmable Technology, pp.6-8, 2004.

M. Dyer, D. Taubman, and S. Nooshabadi, Improved throughput arithmetic coder for jpeg2000, 2004 International Conference on Image Processing, 2004. ICIP '04., 2004.
DOI : 10.1109/ICIP.2004.1421690

P. P. Dang and P. M. Chau, A high performance, low power VLSI design of discrete wavelet transform for lossless compression in JPEG 2000 standard, ICCE. International Conference on Consumer Electronics (IEEE Cat. No.01CH37182), 2001.
DOI : 10.1109/ICCE.2001.935240

K. Andra, C. Chakrabarti, and T. Acharya, A VLSI architecture for lifting-based forward and inverse wavelet transform, IEEE Transactions on Signal Processing, vol.50, issue.4, 2002.
DOI : 10.1109/78.992147

C. Diou, L. Torres, and M. Robert, A wavelet core for video processing " ; Image Processing, Proceedings. 2000 International Conference on, pp.395-398, 2000.

C. Diou, L. Torres, and M. Robert, An embedded core for the 2D wavelet transform, ETFA 2001. 8th International Conference on Emerging Technologies and Factory Automation. Proceedings (Cat. No.01TH8597), pp.179-186, 2001.
DOI : 10.1109/ETFA.2001.997684

G. Dimitroulakos, N. D. Zervas, N. Sklavos, and C. E. Goutis, An efficient VLSI implementation for forward and inverse wavelet transform for JPEG2000, 2002 14th International Conference on Digital Signal Processing Proceedings. DSP 2002 (Cat. No.02TH8628), pp.233-236, 2002.
DOI : 10.1109/ICDSP.2002.1027877

S. Movva and S. Srinivasan, A novel architecture for lifting-based discrete wavelet transform for JPEG2000 standard suitable for VLSI implementation, 16th International Conference on VLSI Design, 2003. Proceedings., 2003.
DOI : 10.1109/ICVD.2003.1183137

O. Benderli, Y. C. Tekmen, and N. Ismailoglu, A real-time, low latency, FPGA implementation of the 2-D discrete wavelet transformation for streaming image applications, Euromicro Symposium on Digital System Design, 2003. Proceedings., pp.384-3891, 2003.
DOI : 10.1109/DSD.2003.1231971

K. Compton, S. Hauck, S. Brown, and J. Rose, Reconfigurable computing: a survey of systems and software, Reconfigurable Computing: A Survey of Systems and Software6] ADM-XPL Xilinx Virtex-II Pro reconfigurable computer http, pp.171-210, 1996.
DOI : 10.1145/508352.508353

F. Nasima and . Shakirova, Singapore [2] A.Ferscha, " a petri net approach for performance oriented parallel program design Special Issue on Petri Net Modeling of Parallel Computers a petri net based approach to real time program analysis, Proceeding of the 9th International Conference on Neural Information Processing (ICONIP'02 International Workshop on Software Specifications & Design Proceedings of the 7th international workshop on Software specification and design, pp.188-206, 1992.

M. Felder, A. Gargantini, and A. Morzenti, A theory of implementation and refinement in timed Petri nets, Proceedings of 1st international conference on Temporal Logic ICTL-94, pp.365-381, 1994.
DOI : 10.1016/S0304-3975(97)00078-9

T. Hirai, M. M. Bernard-berthomieu, G. Balbo, G. Chiola, C. Steven et al., Proceeding of Petri Nets'99 Workshop on Applications of Petri nets to intelligent system development an enumerative approch for analysing time petri nets an example of modeling and evaluation of a concurrent program using colored stochastic petri nets Lamport s fast mutual exclusion algorithm an integrated approach to modeling and analysis of embedded real time systems based on timed petri nets, Proceedings of the Information Processing IFIP -9th World Congress 23rd International Conference on Distributed Computing SystemsKoelmans, A.V.Yakovlev, " asynchronous microprocessors from high level model to FPGA Implementation, pp.2-13, 1983.

M. B. Dwye and L. A. Clarke, a compact petri net representation for concurrent programs, 17th International Conference on Software Engineering, 1995.

E. A. Lee and A. Sangiovanni-vincentelli, Comparing models of computation, Proceedings of International Conference on Computer Aided Design, pp.234-241, 1997.
DOI : 10.1109/ICCAD.1996.569613

M. Wei-jen-yeh and . Young, compositional reachability analysis using process algebra, International Symposium on Software Testing and Analysis Proceedings of the symposium on Testing, analysis, and verification Victoria, pp.49-59, 1991.

S. Christensen, J. B. Jorgensen, and L. M. Kristensen, cpn tool for colored petri net

J. Esparza and M. Nielsen, Decidability Issues for Petri Nets, BRICS Report Series, vol.1, issue.8, 1994.
DOI : 10.7146/brics.v1i8.21662

L. A. Cortes, P. Eles, and Z. Peng, Definitions of equivalence for transformational synthesis of embedded systems, Proceedings Sixth IEEE International Conference on Engineering of Complex Computer Systems. ICECCS 2000, 2000.
DOI : 10.1109/ICECCS.2000.873937

V. Kurapati, M. C. Zhou, and R. Caudill, Design of sequence controllers using Petri net models, 1995 IEEE International Conference on Systems, Man and Cybernetics. Intelligent Systems for the 21st Century, pp.3469-3474, 1995.
DOI : 10.1109/ICSMC.1995.538323

G. Ciardo, discret time markovian stochastic petri nets Computation with Markov Chains, pp.339-358, 1995.

A. Zimmermann, J. Freiheit, and G. Hommel, discret time stochastic petri nets for modeling and evaluation of real time systems, Parallel and Distributed Processing Symposium., Proceedings 15th International, Pages, pp.1069-1074, 2001.

E. Pastor and J. Cortadella, Efficient encoding schemes for symbolic analysis of Petri nets, Proceedings Design, Automation and Test in Europe, pp.790-795, 1998.
DOI : 10.1109/DATE.1998.655948

L. A. Cortes, P. Eles, and Z. Peng, hierarchies-for-the-modeling and verification of embedded systems, SAVE Project Report, 2001.

W. Jorn, R. Janneck, and . Esser, higher order petri net modeling techniques and applications " , Workshop on Software Engineering and Formal Methods, Petri Nets, 2002.

G. Dohhmen, Petri Nets as Intermediate Representation between VHDL and Symbolic Transition Systems, Proceedings Euro-DAC'94 with Euro-VHDL'94, pp.572-577, 1994.

C. Rust, F. Stappert, and S. Schamberger, Integrating load balancing into petri net based embedded system design " , The European Simulation and Modeling Conference, 2003.

J. Cortadella, L. Lavagno, and E. Sentovich, logic synthesis techniques for embedded control code optimization, Proceedings of the International Workshop on Logic Synthesis (IWLS'97), 1997.

M. Canales and B. Gaujal, marking optimization and parallelism of marked graphs
URL : https://hal.archives-ouvertes.fr/inria-00074623

M. G. , A. Yakovlev, L. Gomes, and L. Lavagno, modeling a memory subsystem with petri nets case study, pp.291-310, 2000.

M. Zhou, F. Dicesare, and D. Guo, modeling and performance analysis of a ressource sharing manufacturing system using stochastic Petri nets, Proceedings of the 5th IEEE International Symposium on Intelligent Control, pp.1005-1010, 1990.

A. V. Yakovlev, A. M. Koelmans, and A. Semenov, Modelling, analysis and synthesis of asynchronous control circuits using Petri nets, Integration, the VLSI Journal, vol.21, issue.3, pp.143-170, 1996.
DOI : 10.1016/S0167-9260(96)00010-7

S. Christensen and L. Petrucci, Modular state space analysis of coloured Petri Nets, Proceedings of the 16th International Conference, pp.201-217, 1995.
DOI : 10.1007/3-540-60029-9_41

P. Mitrevski and M. Gusev, performance evaluation of branch and value prediction using discret event simulation of fluid stochastic petri nets, Proceedings of the Second International Conference on Informatics and Information Technology (CiiT'01), Molika, pp.20-23, 2001.

E. Pastor, O. Roig, J. Cortadella, R. M. Badia-valette, and R. , Petri net analysis using boolean manipulation, Proceedings 15th International Conference, pp.416-435, 1994.
DOI : 10.1007/3-540-58152-9_23

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.51.1118

J. A. De-oliveira-filho, E. Manoel, P. R. De-lima, and . Maciel, Petri net based interface analysis for fast IP-core integration, First ACM and IEEE International Conference on Formal Methods and Models for Co-Design, 2003. MEMOCODE '03. Proceedings., 2003.
DOI : 10.1109/MEMCOD.2003.1210084

W. M. Van-der-aalst, Petri net based scheduling, Operations-Research-Spektrum, vol.6, issue.4, 1995.
DOI : 10.1007/BF01540160

T. Murata, Petri nets: Properties, analysis and applications, Proceedings of the IEEE, vol.77, issue.4, pp.541-580, 1989.
DOI : 10.1109/5.24143

T. Murata and J. Yim, Petri net methods for reasoning in real-time control systems, Proceedings of ISCAS'95, International Symposium on Circuits and Systems, pp.517-520, 1995.
DOI : 10.1109/ISCAS.1995.521564

P. Lutz, N. Djemel, and A. Bourjault, Petri net modeling for multiproducts assembly systems including testing, Proceedings of the 1994 IEEE International Conference on Robotics and Automation, 1994.
DOI : 10.1109/ROBOT.1994.351347

C. Lian, H. Kuan-fu-chen, L. Chen, and . Chen, Analysis and Architecture Design of Block Coding Engine for EBCOT in JPEG, IEEE Transaction on Circuits and Systems for video technology, vol.13, issue.3, 2000.

C. Rust, K. Ac-im-rettberg, and . Gossens, From high-level Petri nets to SystemC, SMC'03 Conference Proceedings. 2003 IEEE International Conference on Systems, Man and Cybernetics. Conference Theme, System Security and Assurance (Cat. No.03CH37483), pp.5-8, 2003.
DOI : 10.1109/ICSMC.2003.1244548

K. Jensen-de-bakker, J. De-roever, W. Rozenberg, and G. , An Introduction to the Theoritical Aspects of Coloured Petri Nets A Decade of Concurrency, LNCS, vol.803, pp.230-272, 1994.

G. Vidal-naquet and A. Choquet-geniet, Réseaux de Pétri et systèmes parallèles, Edition Armand Colin, collection Acquis Avancés de l'Informatique (2AI), 1992.

P. Maciel, E. Barros, and W. Rosenstie, A Petri net based approach for performing the initial allocation in hardware/software codesign, SMC'98 Conference Proceedings. 1998 IEEE International Conference on Systems, Man, and Cybernetics (Cat. No.98CH36218), pp.11-14, 1998.
DOI : 10.1109/ICSMC.1998.725462

K. Ashok, N. Murugavel, and . Ranganathan, petri net modeling of gate and interconnect delays for power estimation, IEEE Transactions on Very Large Scale Integration, vol.11, issue.5, pp.921-927, 2003.

A. Frescha and G. Haring, petri-net-based-modeling of parallel programs executing on distributed memory multiproc systems, Periodica Polytechnica Ser. El. Eng, vol.35, issue.3, pp.193-219, 1991.

E. Soto and M. Pereira, Implementing a Petri Net Specification in a FPGA Using VHDL The International Workshop on Discrete-Event System Design, DESDes'01, 2001.

J. A. De-oliveira-filho, E. Manoel, P. R. De-lima, J. Maciel, B. Moura et al., A Fast IP-Core Integration Methodology for SoC Design, 16th Symposium on Integrated Circuits and Systems Design (SBCCI'03), pp.131-136, 2003.

S. Chtourou and O. Hammami, Space Exploration of Behavioral SystemC Synthesis Options on Area and Performance, Third IEEE International Conference on Systems, Signals & Devices SSD'05, 2005.

S. Chtourou and O. Hammami, SystemC Modelling of JPEG-2000 Entropy Coder, en preparation Liste des publications Internationales SSIP, 2002.

I. Aouadi and O. Hammami, MICROARCHITECTURAL CHARACTERIZATION OF JPEG-2000 SOFTWARE, Recent Trends in Multimedia Information Processing, 2002.
DOI : 10.1142/9789812776266_0041

O. Hammami, E. Zheng, and I. Aouadi, Performance Evaluation of JPEG- 2000 on VLIW Architectures, Proceeding of the 14th IEEE International Conference on Microelectronics, 2002.

I. Aouadi and O. Hammami, A SOPC Oriented FPGA Implementation of the JPEG-2000 Entropy Coder, Picture Coding Symposium, 2003.

I. Aouadi and O. Hammami, Analysis and Hardware Design of a Scalable Dual JPEG-2000 Entropy Code, Euromicro Symposium on Digital System Design, 2004.

I. Aouadi, R. Benmouhoub, and O. Hammami, System on programmable chip platform based design of JPEG-2000 entropy coder The 12th Workshop on Synthesis And System Integration of Mixed Information technologies, 2004.

I. Aouadi and O. Hammami, Low power JPEG-2000 image compression for industrial embedded applications, 2004 IEEE International Conference on Industrial Technology, 2004. IEEE ICIT '04., 2004.
DOI : 10.1109/ICIT.2004.1490803

I. Aouadi and O. Hammami, System on a programmable chip oriented JPEG-2000 entropy coder implementation for multimedia embedded systems, 2005 Digest of Technical Papers. International Conference on Consumer Electronics, 2005. ICCE., 2004.
DOI : 10.1109/ICCE.2005.1429910

I. Aouadi and O. Hammami, Bi-codeur entropique JPEG-2000 pour applications mobiles Modélisation par Réseaux de Petri du codeur entropique de JPEG-2000, Compression et Représentation des Signaux Audiovisuels, 2004.