R. K. Gupta and G. Demicheli, System-level synthesis using re-programmable components, [1992] Proceedings The European Conference on Design Automation
DOI : 10.1109/EDAC.1992.205881

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.17.4881

R. K. Gupta and G. Demicheli, Hardware-software cosynthesis for digital systems, IEEE Design and Test of Computers, vol.10, pp.3-3, 1993.

F. Rousseau, J. Berge, and M. Israel, Synthèse des Méthodes et Algorithmes de Partitionnement Logiciel, Actes du 3ème Symposium sur les Architectures Nouvelles de Machines, 1995.

R. Ernst, J. Henkel, and T. Benner, Hardware-software cosynthesis for microcontrollers, IEEE Design and Test of Computers, vol.10, pp.4-4, 1993.

E. N. Barros and W. , A method for hardware software partitioning, CompEuro 1992 Proceedings Computer Systems and Software Engineering, pp.580-585, 1992.
DOI : 10.1109/CMPEUR.1992.218469

E. N. Barros, W. Rosenstiel, and X. Xiong, A Method for Partitioning UNITY Language in Hardware and Software, Proceedings of the European Design Automation Conference, pp.220-225, 1994.

A. Kalavade and E. A. Lee, A global criticality/local phase driven algorithm for the constrained hardware/software partitioning problem, Third International Workshop on Hardware/Software Codesign, pp.42-48, 1994.
DOI : 10.1109/HSC.1994.336724

A. Kalavade and E. A. Lee, The Extended Partitioning Problem: Hardware/Software Mapping and Implementation-bin Selection, Proceedings of the 6th IEEE International Workshop on Rapid System Prototyping, pp.12-18, 1995.

J. Madsen, LYCOS: the Lyngby Co-Synthesis System " . Design Automation for Embedded Systems, pp.195-236, 1997.

J. Jeon and K. Choi, An Effective Force-Directed Partitioning Algorithm for

S. Codesign, Relatório técnico, 1997.

J. Jeon and K. Choi, Loop Pipelining in Hardware-Software Partitioning, Proceedings of the Asia South Pacific Design Automation Conference (ASPDAC'98), pp.361-366, 1998.

F. Rousseau, J. Berge, and M. Israel, Hardware/software partitioning for telecommunications systems, Proceedings of 20th International Computer Software and Applications Conference: COMPSAC '96, pp.483-488, 1996.
DOI : 10.1109/CMPSAC.1996.544617

J. Hou and W. Wolf, Process Partitioning for Distributed Embedded Systems, Proceedings of the 4th International Workshop on Hardware-Software Codesign (CODES/CASHE'96), pp.70-76, 1996.

H. Oh and S. Ha, A hardware-software cosynthesis technique based on heterogeneous multiprocessor scheduling, Proceedings of the seventh international workshop on Hardware/software codesign , CODES '99, pp.183-187, 1999.
DOI : 10.1145/301177.301524

A. Kalavade and P. A. Subrahmanyam, Hardware/software partitioning for multi-function systems, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD) ICCAD-97, pp.516-521, 1997.
DOI : 10.1109/ICCAD.1997.643588

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.119.3822

H. Oh and S. Ha, Hardware-software cosynthesis of multi-mode multi-task embedded systems with real-time constraints, Proceedings of the tenth international symposium on Hardware/software codesign , CODES '02, pp.133-138, 2002.
DOI : 10.1145/774789.774817

H. Oh and S. Ha, PEaCE: Ptolemy Extension as a Codesign Environment The CAP Laboratory of Seoul National University, 2003.

H. Oh and S. Ha, A static scheduling heuristic for heterogeneous processors, Proceedings of the 2nd International Euro-Par Conference on Parallel Processing, pp.573-577, 1996.
DOI : 10.1007/BFb0024750

M. L. López-vallejo, J. Grajal, and J. C. López, Constraint-driven System Partitioning, Proceedings of Design, Automation And Test In Europe (DATE) Conference and Exhibition, pp.411-416, 2000.

M. López-vallejo and J. C. López, On the hardware-software partitioning problem, ACM Transactions on Design Automation of Electronic Systems, vol.8, issue.3, pp.3-3, 2003.
DOI : 10.1145/785411.785412

D. C. De-souza, Pesquisa em Projeto de Sistemas Reconfiguráveis Baseados em FPGA, para a Área de Codesign, Relatório técnico Campina Grande (PB)

A. Corporation, Nios II Software Developer's Handbook, Seção II, Capítulo 4, 2006.

D. C. De-souza, Pesquisa sobre Metodologias de Particionamento de Hardware e Software para Codesign no Domínio de Processamento Digital de Sinais " . Relatório técnico, Campina Grande (PB), 2003.

D. C. De-souza, M. A. De-barros, L. A. Naviner, B. G. Aguiar-netoem, and C. , Pesquisa sobre Metodologias de Particionamento de Hardware e Software para Codesign no Domínio de Processamento Digital de Sinais, Anais do 1?Encontro1?Encontro Regional em Instrumentação e Metrologia Científica (I ERIMC), 2003.

D. C. De-souza, M. A. De-barros, L. A. Naviner, B. G. Aguiar-netoem, and C. , Representação do Conhecimento e Critérios de Qualidade para o Particionamento Hardware/Software, Anais do Congresso Brasileiro de Gestão do Conhecimento (KM Brasil 2003), São Paulo (SP), 2003.

D. C. De-souza, L. A. Naviner, M. A. De-barros, B. G. Aguiar, and . Neto, Proposition d'un algorithme de partitionnement matériel/logiciel, optimisé pour des systèmes multimodes reconfigurables, Actes des 8èmes Journées Nationales du Réseau Doctoral de Microélectronique, 2005.

D. C. De-souza, M. A. De-barros, L. A. Naviner, B. G. Aguiar, and . Neto, On Algorithms and Methodologies for Optimized Partitioning, Actes des Journées Scientifiques Francophones (JSF'03)sur CD-ROM), 2003.

D. C. De-souza, M. A. De-barros, L. A. Naviner, B. G. Aguiar, and . Neto, On relevant quality criteria for optimized partitioning methods, 2003 46th Midwest Symposium on Circuits and Systems, 2003.
DOI : 10.1109/MWSCAS.2003.1562581

D. C. De-souza, M. A. De-barros, L. A. Naviner, B. G. Aguiar, and . Neto, Knowledge Representation and Quality Criteria for Optimized Partitioning Methods, Proceedings of the 11th International Conference on Mixed Design of Integrated Circuits and Systems (MIXDES '04), 2004.

D. C. De-souza, I. Krikidis, L. Naviner, J. Danger, M. A. De-barros et al., Implementation of a digital receiver for DS-CDMA communication systems using HW/SW codesign, 48th Midwest Symposium on Circuits and Systems, 2005.
DOI : 10.1109/MWSCAS.2005.1594169

D. C. De-souza, I. Krikidis, L. Naviner, J. Danger, M. A. De-barros et al., Heterogeneous implementation of a rake receiver for DS-CDMA communication systems, 2005 12th IEEE International Conference on Electronics, Circuits and Systems, pp.450-453, 2005.
DOI : 10.1109/ICECS.2005.4633551

H. Oh and S. Ha, Partitioning Framework for Less Restricted Partitioning Problems, Proceedings of the 6th International Conference on VLSI and CAD, 1999.

P. Lacomme, C. Prins, and M. Sevaux, Algorithmes de Graphes, Editions Eyrolles, p.2, 2003.
URL : https://hal.archives-ouvertes.fr/hal-00009111

A. Azzedine, J. Diguet, and J. Pillippe, Large exploration for HW/SW partitioning of multirate and aperiodic real-time systems, Proceedings of the tenth international symposium on Hardware/software codesign , CODES '02, pp.85-90, 2002.
DOI : 10.1145/774789.774807

F. Vahid, J. Gong, and D. D. Gajski, A Binary-Constraint Search Algorithm for Minimizing Hardware During Hardware/Software Partitioning, Proceedings of the European Design Automation Conference (EuroDAC), pp.214-219, 1994.

S. Prakash and A. C. Parker, Synthesis of application-specific multiprocessor architectures, Proceedings of the 28th conference on ACM/IEEE design automation conference , DAC '91, pp.8-13, 1991.
DOI : 10.1145/127601.127612

S. Prakash and A. C. Parker, A Design Method for Optimal Synthesis of Application-Specific Heterogeneous Multiprocessor Systems, Proceedings. Workshop on Heterogeneous Processing, pp.75-80, 1992.
DOI : 10.1109/WHP.1992.664388

J. Noguera and R. M. Badia, Run-time HW/SW codesign for discrete event systems using dynamically reconfigurable architectures, Proceedings 13th International Symposium on System Synthesis, pp.100-106, 2000.
DOI : 10.1109/ISSS.2000.874035

J. Noguera and R. M. Badia, A HW/SW partitioning algorithm for dynamically reconfigurable architectures, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001, pp.729-734, 2001.
DOI : 10.1109/DATE.2001.915109

J. Noguera and R. M. Badia, Dynamic run-time HW/SW scheduling techniques for reconfigurable architectures, Proceedings of the tenth international symposium on Hardware/software codesign , CODES '02, pp.205-210, 2002.
DOI : 10.1145/774789.774831

J. Noguera and R. M. Badia, HW/SW codesign techniques for dynamically reconfigurable architectures, IEEE Transactions on Very Large Scale Integration Systems, pp.4-4, 2002.
DOI : 10.1109/TVLSI.2002.801575

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.580.9461

K. Choi, Hardware-Software Cosynthesis for Run-time Incrementally Reconfigurable FPGAs HW/SW Partitioning and Code Generation of Embedded Control Applications on a Reconfigurable Architecture Platform, Proc. of the Asia South Pacific Design Automation Conference (ASPDAC'00) Proceedings of the 10th International Symposium on Hardware-Software Codesign (CODES'02), pp.169-174, 2000.

R. P. Dick and N. K. Jha, CORDS, Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design , ICCAD '98, 1998.
DOI : 10.1145/288548.288561

B. P. Dave, CRUSADE, Proceedings of the conference on Design, automation and test in Europe , DATE '99, pp.97-104, 1999.
DOI : 10.1145/307418.307461

D. N. Rakhmatov and S. B. Vrudhula, Hardware-software bipartitioning for dynamically reconfigurable systems, Proceedings of the tenth international symposium on Hardware/software codesign , CODES '02, pp.145-150, 2002.
DOI : 10.1145/774789.774819

J. Harkin, T. M. Mcginnity, and L. P. Maguire, Partitioning methodology for dynamically reconfigurable embedded systems, IEE Proceedings - Computers and Digital Techniques, vol.147, issue.6, pp.6-6, 2000.
DOI : 10.1049/ip-cdt:20000871

K. S. Chatha and R. Vemuri, Hardware-Software Codesign for Dynamically Reconfigurable Architectures, Proceedings of the 9th International Workshop on Field Programmable Logic and Applications (FPL'99), pp.175-184, 1999.
DOI : 10.1007/978-3-540-48302-1_18

J. B. Peterson, R. B. O-'connor, and P. M. Athanas, Scheduling and partitioning ANSI-C programs onto multi-FPGA CCM architectures, Proceedings IEEE Symposium on FPGAs for Custom Computing Machines FPGA-96, pp.178-187, 1996.
DOI : 10.1109/FPGA.1996.564821

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.33.6180

A. Corporation, Stratix II Device Handbook, Volumes 1 e 2, Abril, 2006.

I. Krikidis, J. Danger, and L. A. Naviner, Flexible and reconfigurable receiver architecture for WCDMA systems with low spreading factors, Electronics Letters, vol.41, issue.1, pp.1-1, 2005.
DOI : 10.1049/el:20056964

H. Oh and S. Ha, Fractional Rate Dataflow Model and Efficient Code Synthesis for Multimedia Applications, Proceedings of the (LCTES'02 -SCOPES'02), 2002.

F. Guilloud, Architecture générique de décodeurs de codes LDPC, 2004.