From ASIC to ASIP: the next design discontinuity, Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors, pp.84-90, 2002. ,
DOI : 10.1109/ICCD.2002.1106752
Generating instruction sets and microarchitectures from applications, Proc. Int. Conf. Computer-Aided Design, pp.391-396, 1994. ,
Instruction set definition and instruction selection for ASIPs, Proceedings of 7th International Symposium on High-Level Synthesis, pp.11-16, 1994. ,
DOI : 10.1109/ISHLS.1994.302348
Instruction set extraction from programmable structures, Proc. Eur. Design Automation Conf, pp.156-160, 1994. ,
Instruction-set matching and selection for DSP and ASIP code generation, Proceedings of European Design and Test Conference EDAC-ETC-EUROASIC, pp.31-37, 1994. ,
DOI : 10.1109/EDTC.1994.326902
Subsetting behavioral Intellectual property for low power ASIP design, The Journal of VLSI Signal Processing, vol.21, issue.3, pp.209-218, 1999. ,
DOI : 10.1023/A:1008010602067
An ASIP design methodology for embedded systems, Proceedings of the Seventh International Workshop on Hardware/Software Codesign (CODES'99) (IEEE Cat. No.99TH8450), pp.17-21, 1999. ,
DOI : 10.1109/HSC.1999.777384
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.98.2741
Synthesis of application specific instructions for embedded DSP software, Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design , ICCAD '98, pp.603-614, 1999. ,
DOI : 10.1145/288548.289109
Efficient instruction encoding for automatic instruction set design of configurable ASIPs, Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design , ICCAD '02, pp.649-654, 2002. ,
DOI : 10.1145/774572.774668
Synthesis of custom processors based on extensible platforms, Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design , ICCAD '02, pp.641-648, 2002. ,
DOI : 10.1145/774572.774667
A scalable application-specific processor synthesis methodology, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486), pp.283-290, 2003. ,
DOI : 10.1109/ICCAD.2003.159702
INSIDE: Instruction selection/identification and design exploration for extensible processors, Proc. Int. Conf. Computer-Aided Design, pp.291-297, 2003. ,
MINCE: matching instructions using combinational equivalence for extensible processor, Proceedings Design, Automation and Test in Europe Conference and Exhibition, pp.1020-1027, 2004. ,
DOI : 10.1109/DATE.2004.1269027
Automatic application-specific instruction-set extensions under microarchitectural constraints, Proc. Design Automation Conf, pp.256-261, 2003. ,
DOI : 10.1109/dac.2003.1219004
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.1.2401
Processor acceleration through automated instruction set customization, 22nd Digital Avionics Systems Conference. Proceedings (Cat. No.03CH37449), pp.40-47, 2003. ,
DOI : 10.1109/MICRO.2003.1253189
URL : http://cccp.eecs.umich.edu/papers/ntclark-micro03.pdf
Automatic instruction set extension and utilization for embedded processors, Proceedings IEEE International Conference on Application-Specific Systems, Architectures, and Processors. ASAP 2003, pp.108-118, 2003. ,
DOI : 10.1109/ASAP.2003.1212834
Greedy and heuristic-based algorithm for synthesis of complex instructions in heterogeneous-connectivity-based DSPs, School Inf. Comput. Sci, pp.3-16, 2003. ,
Introduction of local memory elements in instruction set extensions, Proceedings of the 41st annual conference on Design automation , DAC '04, pp.729-734, 2004. ,
DOI : 10.1145/996566.996765
Automatic generation of application specific processors, Proceedings of the international conference on Compilers, architectures and synthesis for embedded systems , CASES '03, pp.137-147, 2003. ,
DOI : 10.1145/951710.951730
Application-specific SIMD synthesis for reconfigurable architectures", Special Issue on FPGAs of Microprocessor and Microsystems, pp.398-412, 2006. ,
Automated Bus Generation for Multiprocessor SoC Design, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.23, issue.11, 2003. ,
DOI : 10.1109/TCAD.2004.835119
An Application-Specific Design Methodology for STbus Crossbar Generation, Design, Automation and Test in Europe, 2005. ,
DOI : 10.1109/DATE.2005.50
URL : https://hal.archives-ouvertes.fr/hal-00181290
Networks on chips: a new SoC paradigm, Computer, vol.35, issue.1, pp.70-78, 2002. ,
DOI : 10.1109/2.976921
Route packets, not wires: on-chip interconnection networks, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232), pp.684-689, 2001. ,
DOI : 10.1109/DAC.2001.935594
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.1.5322
Network on a chip: An architecture for billion transistor era, Proc. IEEE NorChip Conf, pp.166-173, 2000. ,
A survey of research and practices of Network-on-chip, ACM Computing Surveys, vol.38, issue.1, 2006. ,
DOI : 10.1145/1132952.1132953
Key Research Problems in NoC Design: A Holistic Perspective, Proceedings of the 3rd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, pp.69-74, 2005. ,
A network on chip architecture and design methodology, Proceedings IEEE Computer Society Annual Symposium on VLSI. New Paradigms for VLSI Systems Design. ISVLSI 2002, pp.105-112, 2002. ,
DOI : 10.1109/ISVLSI.2002.1016885
Mapping of MPEG-4 decoding on a flexible architecture platform, Media Processors 2002, pp.1-13, 2002. ,
DOI : 10.1117/12.451067
Efficient synthesis of networks on chip, Proceedings 21st International Conference on Computer Design, pp.146-150, 2003. ,
DOI : 10.1109/ICCD.2003.1240887
Energy-aware mapping for tile-based NoC architectures under performance constraints, Proceedings of the 2003 conference on Asia South Pacific design automation , ASPDAC, pp.233-239, 2003. ,
DOI : 10.1145/1119772.1119818
NoC synthesis flow for customized domain specific multiprocessor systems-on-chip, IEEE Transactions on Parallel and Distributed Systems, vol.16, issue.2, 2005. ,
DOI : 10.1109/TPDS.2005.22
A Design Flow for Application-Specific Networks on Chip with Guaranteed Performance to Accelerate SOC Design and Verification, Design, Automation and Test in Europe ,
DOI : 10.1109/DATE.2005.11
URL : https://hal.archives-ouvertes.fr/hal-00181291
Efficient synthesis of networks on chip, Proceedings 21st International Conference on Computer Design, pp.146-150, 2003. ,
DOI : 10.1109/ICCD.2003.1240887
A Methodology for Designing Efficient On-Chip Interconnects on Well- Behaved Communication Patterns, pp.377-388, 2003. ,
Topology optimization for application-specific networks-on-chip, Proceedings of the 2004 international workshop on System level interconnect prediction , SLIP '04 ,
DOI : 10.1145/966747.966758
An automated technique for topology and route generation of application specific onchip interconnection networks, Proceedings of ICCAD 2005, pp.231-237, 2005. ,
Application-Specific Customization of Parameterized FPGA Soft-Core Processors, International Conference on Computer- Aided Design, ICCAD, 2006. ,
Core architecture optimization for heterogeneous chip multiprocessors, Proceedings of the 15th international conference on Parallel architectures and compilation techniques , PACT '06, 2006. ,
DOI : 10.1145/1152154.1152162
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.80.8401
Automatic application-specific microarchitecture reconfiguration, Proceedings 20th IEEE International Parallel & Distributed Processing Symposium, 2006. ,
DOI : 10.1109/IPDPS.2006.1639457
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.324.2029
Single-ISA heterogeneous multi-core architectures: the potential for processor power reduction, 22nd Digital Avionics Systems Conference. Proceedings (Cat. No.03CH37449), 2003. ,
DOI : 10.1109/MICRO.2003.1253185
Application-Specific Heterogeneous Multiprocessor Synthesis Using Extensible Processors, IEEE Transactions on Computer Aided Design of Integrated circuits and systems, vol.25, issue.9, 2006. ,
AltiVec Technology: Accelerating Media Processing Across the Spectrum, HOTCHIPS10, 1998. ,
OCCN: a network-on-chip modeling and simulation framework, Proceedings Design, Automation and Test in Europe Conference and Exhibition, pp.174-179 ,
DOI : 10.1109/DATE.2004.1269226
Autovectorization in GCC, GCC Developers' Summit, pp.105-117, 2004. ,
Available, ] International Technology Roadmap for Semiconductors: Design, 2005. ,
Codesign of embedded systems: status and trends, IEEE Design & Test of Computers, vol.15, issue.2, pp.45-54, 1998. ,
DOI : 10.1109/54.679207
Hardware/software co-design, Proceedings of the IEEE, vol.85, issue.3, pp.349-365, 1997. ,
DOI : 10.1007/978-94-009-0187-2
Co-Synthesis of Hardware and Software for Digital Embedded Systems, 1995. ,
DOI : 10.1007/978-1-4615-2287-4
Hardware-software cosynthesis for microcontrollers, IEEE Design & Test of Computers, vol.10, issue.4, pp.64-75, 1993. ,
DOI : 10.1109/54.245964
Hardware-Software Co-Design of Embedded Systems: The Polis Approach, 1997. ,
DOI : 10.1007/978-1-4615-6127-9
Spec C: Specification Language and Methodology, 2000. ,
DOI : 10.1007/978-1-4615-4515-6
Developing architectural platforms: a disciplined approach, IEEE Design & Test of Computers, vol.19, issue.6, pp.6-16, 2002. ,
DOI : 10.1109/MDT.2002.1047739
System modeling and transformational design refinement in ForSyDe [formal system design] " , Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.23, issue.s, pp.17-32, 2004. ,
Multiprocessor Systems-on-Chip, 2005. ,
URL : https://hal.archives-ouvertes.fr/hal-01391627
Engineering the Complex SOC, 2004. ,
Configurable processors: a new era in chip design, Computer, vol.38, issue.7, pp.51-59, 2005. ,
DOI : 10.1109/MC.2005.226
Multicore design strives for balance... but programming, debug tools complicate adoption, Electronics Engineering Times, 2006. ,
PEAS-III: an ASIP design environment, Proceedings 2000 International Conference on Computer Design, pp.430-436, 2000. ,
DOI : 10.1109/ICCD.2000.878319
ESL Requirements for Configurable Processor based Embedded System Design, pp.15-20, 2005. ,
Design and programming of embedded multiprocessors, Proceedings of the 2nd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis , CODES+ISSS '04, pp.206-217, 2004. ,
DOI : 10.1145/1016720.1016771
Application of a multi-processor SoC platform to high-speed packet forwarding, Proceedings Design, Automation and Test in Europe Conference and Exhibition, pp.58-63, 2004. ,
DOI : 10.1109/DATE.2004.1269203
AMD 3DNow! Technology and the K6-2 Microprocessor AltiVec Technology: Accelerating Media Processing Across the Spectrum Implementing Streaming SIMD Extensions on the Pentium III Processor A Low-power, High-speed Implementation of a PowerPC Microprocessor Vector Extension AltiVec Vectorizes PowerPC Forthcoming Multimedia Extensions Improve on MMX " Microprocvessor reportCustom-instruction synthesis for extensibleprocessor platforms, How Multimedia Workloads Will Change Processor Design IEEE Micro HOTCHIPS10 HOTCHIPS10 IEEE Micro14 th IEEE Symposium on Computer Arithmetic Xilinx, Inc. [3.9] Fei Sun Computer-Aided Design of Integrated Circuits and Systems, pp.43-45, 1997. ,
Automatic application-specific instruction-set extensions under microarchitectural constraints, Proceedings Volume , Issue, pp.2-6, 2003. ,
DOI : 10.1109/dac.2003.1219004
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.1.2401
Processor acceleration through automated instruction set customization, 22nd Digital Avionics Systems Conference. Proceedings (Cat. No.03CH37449), pp.3-5, 2003. ,
DOI : 10.1109/MICRO.2003.1253189
URL : http://cccp.eecs.umich.edu/papers/ntclark-micro03.pdf
Customized instruction sets for embedded processors, Proc. Design Automation Conf, pp.253-257, 1999. ,
DOI : 10.1145/309847.309923
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.409.5463
Architecture synthesis of high-performance applicationspecific processors, Proc. Design Automation Conf, pp.542-548, 1990. ,
Synthesis of pipelined instruction set processors, Proceedings of the 30th international on Design automation conference , DAC '93, pp.583-588, 1993. ,
DOI : 10.1145/157485.165053
Processor reconfiguration through instruction-set metamorphosis, Computer, vol.26, issue.3, pp.11-18, 1993. ,
DOI : 10.1109/2.204677
The design of mixed hardware/software systems, Proc. Design Automation Conf, pp.515-520, 1996. ,
Effectiveness of the ASIP design system PEAS-III in design of pipelined processors, Proc. Asia South Pacific Design Automation Conf, pp.649-654, 2001. ,
Exploiting intellectual properties in ASIP designs for embedded DSP software, Proceedings of the 36th ACM/IEEE conference on Design automation conference , DAC '99, pp.939-944, 1999. ,
DOI : 10.1145/309847.310103
PSCP: A scalable parallel ASIP architecture for reactive systems, Proceedings Design, Automation and Test in Europe, pp.370-376, 1998. ,
DOI : 10.1109/DATE.1998.655884
Mapping statechart models onto an FPGA-based ASIP architecture, Proceedings EURO-DAC '96. European Design Automation Conference with EURO-VHDL '96 and Exhibition, pp.184-189, 1996. ,
DOI : 10.1109/EURDAC.1996.558203
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.17.1769
Automatic generation of application specific processors, Proceedings of the international conference on Compilers, architectures and synthesis for embedded systems , CASES '03, pp.137-147, 2003. ,
DOI : 10.1145/951710.951730
Processor acceleration through automated instruction set customization, 22nd Digital Avionics Systems Conference. Proceedings (Cat. No.03CH37449), pp.129-140, 2003. ,
DOI : 10.1109/MICRO.2003.1253189
URL : http://cccp.eecs.umich.edu/papers/ntclark-micro03.pdf
Co-Synthesis of Instruction Sets and Microarchitectures, 1994. ,
Synthesis of custom processors based on extensible platforms, Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design , ICCAD '02, pp.641-648, 2002. ,
DOI : 10.1145/774572.774667
Area-efficient instruction set synthesis for reconfigurable system-on-chip designs, Proceedings of the 41st annual conference on Design automation , DAC '04, pp.395-400, 2004. ,
DOI : 10.1145/996566.996679
Automatic Application-Specific Instruction Set Extensions under Microarchitectural Constraints, Design Automation Conf, 2003. ,
High-level synthesis with SIMD units, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design, 2002. ,
DOI : 10.1109/ASPDAC.2002.994955
A Hardware/Software Cosynthesis System for Digital Signal Processor Cores, IEICE Trans. Fundamentals, issue.11, 1999. ,
Lx: a technology platform for customizable VLIW embedded processing [3.30] Altera. Nios embedded processor system development, ISCA, 2000. ,
Autovectorization in GCC, GCC Developers' Summit, pp.105-117, 2004. ,
16-bit FP sub-word parallelism to facilitate compiler vectorization and improve performance of image and media processing, Proceedings of International Conference on Parallel Processing, 2004. ,
1i Core Generator user Guide [3.43] Xilinx ISE 7.1 Synthesis and Verification User Guide [3.44] ModelSIM SE User's manual v6.0a, 2004. ,
Multimedia processors, Proceedings of the IEEE, pp.1203-1221, 1998. ,
DOI : 10.1109/5.687835
Synthesis of application specific programmable processors, Proceedings of the 34th annual conference on Design automation conference , DAC '97, pp.353-358, 1997. ,
DOI : 10.1145/266021.266164
Generating instruction sets and microarchitectures from applications, Proc. Int. Conf. Computer-Aided Design, pp.391-396, 1994. ,
An ASIP design methodology for embedded systems, Proceedings of the Seventh International Workshop on Hardware/Software Codesign (CODES'99) (IEEE Cat. No.99TH8450), pp.17-21, 1999. ,
DOI : 10.1109/HSC.1999.777384
Algorithms for compiler-assisted design space exploration of clustered VLIW ASIP datapaths, Elect. And Comput. Eng. Dept, 2001. ,
Automatic architectural synthesis of VLIW and EPIC processors, Proceedings 12th International Symposium on System Synthesis, pp.107-113, 1999. ,
DOI : 10.1109/ISSS.1999.814268
An evolution programming approach on multiple behaviors for the design of application specific programmable processors, Proc. Eur. Design Test Conf, pp.144-150, 1996. ,
Image processing and behavior planning for intelligent vehicles, Industrial Electronics, IEEE Transactions on, vol.50, issue.1s, pp.62-75, 2003. ,
Wolfgang Niehsen; Nan-Ning Zheng;, IVS 05: new developments and research trends for intelligent vehicles Intelligent Systems, IEEE [see also IEEE Intelligent Systems and Their Applications, 2005. ,
Video-based lane estimation and tracking for driver assistance: survey, system, and evaluation, Intelligent Transportation Systems, IEEE Transactions on, vol.7, issue.1s, pp.20-37, 2006. ,
Intelligent cruise control applications: real-time embedded hybrid control software, Robotics & Automation Magazine, IEEE, vol.12, issue.1s, pp.22-28, 2005. ,
DOI : 10.1109/mra.2005.1411415
Real-time Dense Stereo for intelligent vehicles Intelligent Transportation Systems, IEEE Transactions on, vol.7, issue.1s, pp.38-50, 2006. ,
Multiparadigm Modeling in Embedded Systems Design, IEEE Transactions on Control Systems Technology, vol.12, issue.2, pp.279-292, 2004. ,
DOI : 10.1109/TCST.2004.824340
SystemC Space Exploration of Behavioral Synthesis Options on Area, Performance and Power consumption, IEEE International Conference on Microelectronics (ICM Islamabad, 2005. ,
Transaction Level Modeling: an overview, IEEE/ACM/IFIP International Conference on Hardware/Software codesign and System Synthesis, pp.19-24, 2003. ,
DOI : 10.1109/codess.2003.1275250
From VHDL register transfer level to SystemC transaction level modeling: a comparative case study, 16th Symposium on Integrated Circuits and Systems Design, 2003. SBCCI 2003. Proceedings., pp.355-360, 2003. ,
DOI : 10.1109/SBCCI.2003.1232853
Image processing chain construction by interactive goal specification, Proceedings of 1st International Conference on Image Processing, pp.816-820, 1994. ,
DOI : 10.1109/ICIP.1994.413775
Adaptative Concept Building by Image Processing Entity Structuration Numerical recipes: The art of scientific computing, 1989. ,
Hong Cheng; Zhengbei Xing, Multi-DSP based intelligent vehicle vision system and software & hardware co-realization, Intelligent Control and Automation, pp.15-19, 2004. ,
Bus Access Optimization for FlexRay-based Distributed Embedded Systems, 2007. ,
Synthesis of Task and Message Activation Models in Real-Time Distributed Automotive Systems, 2007 Design, Automation & Test in Europe Conference & Exhibition, 2007. ,
DOI : 10.1109/DATE.2007.364573
Model-Driven Development Using UML 2.0: Promises and Pitfalls, Computer, vol.39, issue.2, pp.59-66, 2006. ,
DOI : 10.1109/MC.2006.65
UML methodology for smart transducer integration in real-time embedded systems, Intelligent Vehicles Symposium, Proceedings. IEEE, vol.6, issue.s, pp.688-693, 2005. ,
A UML-based concept for high concurrency: the real-time object Object-Oriented Real-Time Distributed Computing, Proceedings. Seventh IEEE International Symposium on 2004 Page(s), pp.64-67, 2004. ,
Using UML-based rate monotonic analysis to predict schedulability, Computer, vol.37, issue.10, pp.56-63, 2004. ,
DOI : 10.1109/MC.2004.183
Trong-Yen Lee; Jin-Ming, Fu; Win-Bin See, VERTAF: an application framework for the design and verification of embedded real-time software, Software Engineering, IEEE Transactions on, vol.30, issue.10, 2004. ,
Architecting systems with UML 2.0, Software, pp.57-61, 2003. ,
UML-based performance engineering possibilities and techniques, Software, IEEE, vol.19, issue.1s, pp.74-83, 2002. ,
A model-driven design environment for embedded systems, Proceedings of the 43rd annual conference on Design automation , DAC '06, p.43, 2006. ,
DOI : 10.1145/1146909.1147141
Model driven engineering for SoC co-design The 3rd International, IEEE-NEWCAS Conference, issue.s, pp.21-25, 2005. ,
Zhou ZuCheng Shang YaoHui;, Modeling SystemC design in UML and automatic code generation, Design Automation Conference Proceedings of the ASP-DAC 2005. Asia and South Pacific, pp.18-21, 2005. ,
Exploitation of UML 2.0?Based Platform Service Model and SystemC Workload Simulation in MPEG-4 Partitioning System-on-Chip, Proceedings. 2005 International Symposium on 15-17 Nov. 2005 Page(s), pp.167-170, 2005. ,
Using UML 2.0 for system level design of real time SoC platforms for stream processing, Embedded and Real-Time Computing Systems and Applications, Proceedings. 11th IEEE International Conference on, pp.17-19, 2005. ,
Zhenxin Sun, Model-driven SoC design via executable UML to SystemC Real-Time Systems Symposium Proceedings. 25th IEEE International, pp.459-468, 2004. ,
Object-oriented modeling and synthesis of SystemC specifications Design Automation Conference, Proceedings of the ASP-DAC 2004. Asia and South Pacific 27-30, pp.238-243, 2004. ,
A model-driven design environment for embedded systems, Proceedings of the 43rd annual conference on Design automation , DAC '06, 2006. ,
DOI : 10.1145/1146909.1147141
Timing Simulation of Interconnected AUTOSAR Software-Components, in DATE, 2007. ,
Towards a Methodology for the Quantitative Evaluation of Automotive Architectures, 2007 Design, Automation & Test in Europe Conference & Exhibition, 2007. ,
DOI : 10.1109/DATE.2007.364643
MOCDEX: Multiprocessor on Chip Multiobjective Design Space Exploration with Direct Execution, EURASIP Journal on Embedded Systems, vol.2006, 2006. ,
URL : https://hal.archives-ouvertes.fr/hal-00847913
FPGA-based Networking Systems for High Data-rate and Reliable In-vehicle Communications, 2007 Design, Automation & Test in Europe Conference & Exhibition, 2007. ,
DOI : 10.1109/DATE.2007.364639
Using Partial-Run-Time Reconfigurable Hardware to accelerate Video Processing in Driver Assistance System, 2007 Design, Automation & Test in Europe Conference & Exhibition, 2007. ,
DOI : 10.1109/DATE.2007.364642
Automatic Design Space Exploration of Automotive Electronics: The case of AUTOSAR, submitted for publication ,
Intel research expands Moore's law, Computer, vol.36, issue.1, pp.31-40, 2003. ,
DOI : 10.1109/MC.2003.1160054
Function-level power estimation methodology for microprocessors, Proceedings of the 37th conference on Design automation , DAC '00, 2000. ,
DOI : 10.1145/337292.337786
State-based power analysis for systems-onchip, Proceedings of the 40th Design Automation Conference, 2003. ,
Power Estimation for Cycle-Accurate Functional Descriptions of Hardware, 2004. ,
Predictable design of low power systems by pre-implementation estimation and optimization, ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753), pp.27-30, 2004. ,
DOI : 10.1109/ASPDAC.2004.1337531
Task graph extraction for embedded system synthesis, 16th International Conference on VLSI Design, 2003. Proceedings., pp.480-486, 2003. ,
DOI : 10.1109/ICVD.2003.1183180
SystemC Space Exploration of Behavioral Synthesis Options on Area, Performance and Power consumption, 2005 International Conference on Microelectronics, 2005. ,
DOI : 10.1109/ICM.2005.1590039
Behavioral synthesis with SystemC, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001, p.839, 2001. ,
DOI : 10.1109/DATE.2001.914995
Extracting exact finite state machines from behavioral SystemC descriptions, Proceedings of International Conference on VLSI Design, pp.280-285, 2005. ,
Extending the SystemC synthesis subset by object-oriented features, Proceedings of the 1st IEEE/ACM/IFIP international conference on Hardware/software codesign & system synthesis , CODES+ISSS '03, 2003. ,
DOI : 10.1145/944650.944652
New Degrees of Parallelism in Complex SOCs From ASIC to ASIP: The next design discontinuity, References [5.1] International Technology Roadmap for Semiconductors: Design Proc. Int. Conf. Computer Design, pp.84-90, 2002. ,
Generating instruction sets and microarchitectures from applications, Proc. Int. Conf. Computer-Aided Design, pp.391-396, 1994. ,
Instruction set definition and instruction selection for ASIPs, Proceedings of 7th International Symposium on High-Level Synthesis, pp.11-16, 1994. ,
DOI : 10.1109/ISHLS.1994.302348
Instruction set extraction from programmable structures, Proc. Eur. Design Automation Conf, pp.156-160, 1994. ,
DOI : 10.1007/978-1-4757-2570-4_3
Instruction-set matching and selection for DSP and ASIP code generation, Proceedings of European Design and Test Conference EDAC-ETC-EUROASIC, pp.31-37, 1994. ,
DOI : 10.1109/EDTC.1994.326902
Subsetting behavioral Intellectual property for low power ASIP design, The Journal of VLSI Signal Processing, vol.21, issue.3, pp.209-218, 1999. ,
DOI : 10.1023/A:1008010602067
An ASIP design methodology for embedded systems, Proceedings of the Seventh International Workshop on Hardware/Software Codesign (CODES'99) (IEEE Cat. No.99TH8450), pp.17-21, 1999. ,
DOI : 10.1109/HSC.1999.777384
Synthesis of application specific instructions for embedded DSP software, Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design , ICCAD '98, pp.603-614, 1999. ,
DOI : 10.1145/288548.289109
Efficient instruction encoding for automatic instruction set design of configurable ASIPs, Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design , ICCAD '02, pp.649-654, 2002. ,
DOI : 10.1145/774572.774668
Synthesis of custom processors based on extensible platforms, Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design , ICCAD '02, pp.641-648, 2002. ,
DOI : 10.1145/774572.774667
A scalable application-specific processor synthesis methodology, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486), pp.283-290, 2003. ,
DOI : 10.1109/ICCAD.2003.159702
INSIDE: Instruction selection/identification and design exploration for extensible processors, Proc. Int. Conf. Computer-Aided Design, pp.291-297, 2003. ,
MINCE: matching instructions using combinational equivalence for extensible processor, Proceedings Design, Automation and Test in Europe Conference and Exhibition, pp.1020-1027, 2004. ,
DOI : 10.1109/DATE.2004.1269027
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.100.3044
Automatic application-specific instruction-set extensions under microarchitectural constraints, Proc. Design Automation Conf, pp.256-261, 2003. ,
DOI : 10.1109/dac.2003.1219004
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.1.2401
Processor acceleration through automated instruction set customization, 22nd Digital Avionics Systems Conference. Proceedings (Cat. No.03CH37449), pp.40-47, 2003. ,
DOI : 10.1109/MICRO.2003.1253189
URL : http://cccp.eecs.umich.edu/papers/ntclark-micro03.pdf
Automatic instruction set extension and utilization for embedded processors, Proceedings IEEE International Conference on Application-Specific Systems, Architectures, and Processors. ASAP 2003, pp.108-118, 2003. ,
DOI : 10.1109/ASAP.2003.1212834
Greedy and heuristic-based algorithm for synthesis of complex instructions in heterogeneous-connectivity-based DSPs, School Inf. Comput. Sci, pp.3-16, 2003. ,
Introduction of local memory elements in instruction set extensions, Proceedings of the 41st annual conference on Design automation , DAC '04, pp.729-734, 2004. ,
DOI : 10.1145/996566.996765
Automatic generation of application specific processors, Proceedings of the international conference on Compilers, architectures and synthesis for embedded systems , CASES '03, pp.137-147, 2003. ,
DOI : 10.1145/951710.951730
Application-specific SIMD synthesis for reconfigurable architectures", Special Issue on FPGAs of Microprocessor and Microsystems, pp.398-412, 2006. ,
Automated Bus Generation for Multiprocessor SoC Design, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.23, issue.11, 2003. ,
DOI : 10.1109/TCAD.2004.835119
An Application-Specific Design Methodology for STbus Crossbar Generation, Design, Automation and Test in Europe, 2005. ,
DOI : 10.1109/DATE.2005.50
URL : https://hal.archives-ouvertes.fr/hal-00181290
Networks on chips: a new SoC paradigm, Computer, vol.35, issue.1, pp.70-78, 2002. ,
DOI : 10.1109/2.976921
Route packets, not wires: on-chip interconnection networks, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232), pp.684-689, 2001. ,
DOI : 10.1109/DAC.2001.935594
Network on a chip: An architecture for billion transistor era, Proc. IEEE NorChip Conf, pp.166-173, 2000. ,
A survey of research and practices of Network-on-chip, ACM Computing Surveys, vol.38, issue.1, 2006. ,
DOI : 10.1145/1132952.1132953
Key Research Problems in NoC Design: A Holistic Perspective, Proceedings of the 3rd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, pp.69-74, 2005. ,
A network on chip architecture and design methodology, Proceedings IEEE Computer Society Annual Symposium on VLSI. New Paradigms for VLSI Systems Design. ISVLSI 2002, pp.105-112, 2002. ,
DOI : 10.1109/ISVLSI.2002.1016885
Mapping of MPEG-4 decoding on a flexible architecture platform, Media Processors 2002, pp.1-13, 2002. ,
DOI : 10.1117/12.451067
Efficient synthesis of networks on chip, Proceedings 21st International Conference on Computer Design, pp.146-150, 2003. ,
DOI : 10.1109/ICCD.2003.1240887
Energy-aware mapping for tile-based NoC architectures under performance constraints, Proceedings of the 2003 conference on Asia South Pacific design automation , ASPDAC, pp.233-239, 2003. ,
DOI : 10.1145/1119772.1119818
NoC synthesis flow for customized domain specific multiprocessor systems-on-chip, IEEE Transactions on Parallel and Distributed Systems, vol.16, issue.2, 2005. ,
DOI : 10.1109/TPDS.2005.22
A Design Flow for Application-Specific Networks on Chip with Guaranteed Performance to Accelerate SOC Design and Verification, Design, Automation and Test in Europe ,
DOI : 10.1109/DATE.2005.11
URL : https://hal.archives-ouvertes.fr/hal-00181291
Efficient synthesis of networks on chip, Proceedings 21st International Conference on Computer Design, pp.146-150, 2003. ,
DOI : 10.1109/ICCD.2003.1240887
A Methodology for Designing Efficient On-Chip Interconnects on Well-Behaved Communication Patterns, pp.377-388, 2003. ,
Topology optimization for application-specific networks-on-chip, Proceedings of the 2004 international workshop on System level interconnect prediction , SLIP '04 ,
DOI : 10.1145/966747.966758
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.104.7988
An automated technique for topology and route generation of application specific on-chip interconnection networks, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005., pp.6-10, 2005. ,
DOI : 10.1109/ICCAD.2005.1560070
Application- Specific Customization of Parameterized FPGA Soft-Core Processors, International Conference on Computer-Aided Design, ICCAD, 2006. ,
Core architecture optimization for heterogeneous chip multiprocessors, Proceedings of the 15th international conference on Parallel architectures and compilation techniques , PACT '06, 2006. ,
DOI : 10.1145/1152154.1152162
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.80.8401
Automatic application-specific microarchitecture reconfiguration, Proceedings 20th IEEE International Parallel & Distributed Processing Symposium, 2006. ,
DOI : 10.1109/IPDPS.2006.1639457
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.324.2029
Single-ISA heterogeneous multi-core architectures: the potential for processor power reduction, 22nd Digital Avionics Systems Conference. Proceedings (Cat. No.03CH37449), 2003. ,
DOI : 10.1109/MICRO.2003.1253185
Application-Specific Heterogeneous Multiprocessor Synthesis Using Extensible Processors, IEEE Transactions on Computer Aided Design of Integrated circuits and systems, vol.25, issue.9, 2006. ,
AltiVec Technology: Accelerating Media Processing Across the Spectrum, HOTCHIPS10, 1998. ,
OCCN: a network-onchip modeling and simulation framework, Proceedings of DATE 2004, pp.174-179 ,
Autovectorization in GCC, GCC Developers' Summit, pp.105-117, 2004. ,
Available ,