K. Keutzer, S. Malik, and A. R. Newton, From ASIC to ASIP: the next design discontinuity, Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors, pp.84-90, 2002.
DOI : 10.1109/ICCD.2002.1106752

J. Huang and A. M. Despain, Generating instruction sets and microarchitectures from applications, Proc. Int. Conf. Computer-Aided Design, pp.391-396, 1994.

J. Van-praet, G. Goossens, D. Lanneer, and H. De-man, Instruction set definition and instruction selection for ASIPs, Proceedings of 7th International Symposium on High-Level Synthesis, pp.11-16, 1994.
DOI : 10.1109/ISHLS.1994.302348

R. Leupers and P. Marwedel, Instruction set extraction from programmable structures, Proc. Eur. Design Automation Conf, pp.156-160, 1994.

C. Liem, T. May, and P. Paulin, Instruction-set matching and selection for DSP and ASIP code generation, Proceedings of European Design and Test Conference EDAC-ETC-EUROASIC, pp.31-37, 1994.
DOI : 10.1109/EDTC.1994.326902

W. E. Dougherty, D. J. Pursley, and D. E. Thomas, Subsetting behavioral Intellectual property for low power ASIP design, The Journal of VLSI Signal Processing, vol.21, issue.3, pp.209-218, 1999.
DOI : 10.1023/A:1008010602067

K. Kucukcakar, An ASIP design methodology for embedded systems, Proceedings of the Seventh International Workshop on Hardware/Software Codesign (CODES'99) (IEEE Cat. No.99TH8450), pp.17-21, 1999.
DOI : 10.1109/HSC.1999.777384

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.98.2741

H. Choi, J. Kim, C. Yoon, I. Park, S. H. Hwang et al., Synthesis of application specific instructions for embedded DSP software, Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design , ICCAD '98, pp.603-614, 1999.
DOI : 10.1145/288548.289109

J. E. Lee, K. Choi, and N. Dutt, Efficient instruction encoding for automatic instruction set design of configurable ASIPs, Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design , ICCAD '02, pp.649-654, 2002.
DOI : 10.1145/774572.774668

F. Sun, S. Ravi, A. Raghunathan, and N. K. Jha, Synthesis of custom processors based on extensible platforms, Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design , ICCAD '02, pp.641-648, 2002.
DOI : 10.1145/774572.774667

F. Sun, S. Ravi, A. Raghunathan, and N. K. Jha, A scalable application-specific processor synthesis methodology, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486), pp.283-290, 2003.
DOI : 10.1109/ICCAD.2003.159702

N. Cheung, S. Parameswaran, and J. Henkel, INSIDE: Instruction selection/identification and design exploration for extensible processors, Proc. Int. Conf. Computer-Aided Design, pp.291-297, 2003.

N. Cheung, S. Parameswaran, J. Henkel, and J. Chan, MINCE: matching instructions using combinational equivalence for extensible processor, Proceedings Design, Automation and Test in Europe Conference and Exhibition, pp.1020-1027, 2004.
DOI : 10.1109/DATE.2004.1269027

K. Atasu, L. Pozzi, and P. Ienne, Automatic application-specific instruction-set extensions under microarchitectural constraints, Proc. Design Automation Conf, pp.256-261, 2003.
DOI : 10.1109/dac.2003.1219004

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.1.2401

N. Clark, H. Zhong, W. Tang, and S. Mahlke, Processor acceleration through automated instruction set customization, 22nd Digital Avionics Systems Conference. Proceedings (Cat. No.03CH37449), pp.40-47, 2003.
DOI : 10.1109/MICRO.2003.1253189

URL : http://cccp.eecs.umich.edu/papers/ntclark-micro03.pdf

A. Peymandoust, L. Pozzi, P. Ienne, and G. Micheli, Automatic instruction set extension and utilization for embedded processors, Proceedings IEEE International Conference on Application-Specific Systems, Architectures, and Processors. ASAP 2003, pp.108-118, 2003.
DOI : 10.1109/ASAP.2003.1212834

P. Biswas and N. Dutt, Greedy and heuristic-based algorithm for synthesis of complex instructions in heterogeneous-connectivity-based DSPs, School Inf. Comput. Sci, pp.3-16, 2003.

P. Biswas, K. Atasu, V. Choudhary, L. Pozzi, N. Dutt et al., Introduction of local memory elements in instruction set extensions, Proceedings of the 41st annual conference on Design automation , DAC '04, pp.729-734, 2004.
DOI : 10.1145/996566.996765

D. Goodwin and D. Petkov, Automatic generation of application specific processors, Proceedings of the international conference on Compilers, architectures and synthesis for embedded systems , CASES '03, pp.137-147, 2003.
DOI : 10.1145/951710.951730

M. O. Cheema and O. Hammami, Application-specific SIMD synthesis for reconfigurable architectures", Special Issue on FPGAs of Microprocessor and Microsystems, pp.398-412, 2006.

K. K. Ryu, V. J. Mooney, and I. , Automated Bus Generation for Multiprocessor SoC Design, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.23, issue.11, 2003.
DOI : 10.1109/TCAD.2004.835119

S. Murali and G. D. Micheli, An Application-Specific Design Methodology for STbus Crossbar Generation, Design, Automation and Test in Europe, 2005.
DOI : 10.1109/DATE.2005.50

URL : https://hal.archives-ouvertes.fr/hal-00181290

L. Benini and G. Micheli, Networks on chips: a new SoC paradigm, Computer, vol.35, issue.1, pp.70-78, 2002.
DOI : 10.1109/2.976921

W. Dally and B. Towles, Route packets, not wires: on-chip interconnection networks, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232), pp.684-689, 2001.
DOI : 10.1109/DAC.2001.935594

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.1.5322

A. Hemani, Network on a chip: An architecture for billion transistor era, Proc. IEEE NorChip Conf, pp.166-173, 2000.

T. Bjerregaard and S. Mahadevan, A survey of research and practices of Network-on-chip, ACM Computing Surveys, vol.38, issue.1, 2006.
DOI : 10.1145/1132952.1132953

Y. Umit, J. Ogras, R. Hu, and . Marculescu, Key Research Problems in NoC Design: A Holistic Perspective, Proceedings of the 3rd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, pp.69-74, 2005.

S. Kumar, A network on chip architecture and design methodology, Proceedings IEEE Computer Society Annual Symposium on VLSI. New Paradigms for VLSI Systems Design. ISVLSI 2002, pp.105-112, 2002.
DOI : 10.1109/ISVLSI.2002.1016885

E. B. Van-der-tol and E. G. Jaspers, Mapping of MPEG-4 decoding on a flexible architecture platform, Media Processors 2002, pp.1-13, 2002.
DOI : 10.1117/12.451067

A. Pinto, Efficient synthesis of networks on chip, Proceedings 21st International Conference on Computer Design, pp.146-150, 2003.
DOI : 10.1109/ICCD.2003.1240887

J. Hu and R. Marculescu, Energy-aware mapping for tile-based NoC architectures under performance constraints, Proceedings of the 2003 conference on Asia South Pacific design automation , ASPDAC, pp.233-239, 2003.
DOI : 10.1145/1119772.1119818

D. Bertozzi, A. Jalabert, S. Murali, R. Tamhankar, S. Stergiou et al., NoC synthesis flow for customized domain specific multiprocessor systems-on-chip, IEEE Transactions on Parallel and Distributed Systems, vol.16, issue.2, 2005.
DOI : 10.1109/TPDS.2005.22

K. Goossens, J. Dielissen, O. Prakash-gangwal, S. G. Pestana, A. R?adulescu et al., A Design Flow for Application-Specific Networks on Chip with Guaranteed Performance to Accelerate SOC Design and Verification, Design, Automation and Test in Europe
DOI : 10.1109/DATE.2005.11

URL : https://hal.archives-ouvertes.fr/hal-00181291

A. Pinto, Efficient synthesis of networks on chip, Proceedings 21st International Conference on Computer Design, pp.146-150, 2003.
DOI : 10.1109/ICCD.2003.1240887

W. H. Ho and T. M. Pinkston, A Methodology for Designing Efficient On-Chip Interconnects on Well- Behaved Communication Patterns, pp.377-388, 2003.

T. Ahonen, Topology optimization for application-specific networks-on-chip, Proceedings of the 2004 international workshop on System level interconnect prediction , SLIP '04
DOI : 10.1145/966747.966758

K. Srinivasan, An automated technique for topology and route generation of application specific onchip interconnection networks, Proceedings of ICCAD 2005, pp.231-237, 2005.

D. Sheldon, R. Kumar, F. Vahid, R. Lysecky, and D. Tullsen, Application-Specific Customization of Parameterized FPGA Soft-Core Processors, International Conference on Computer- Aided Design, ICCAD, 2006.

R. Kumar, D. Tullsen, and N. Jouppi, Core architecture optimization for heterogeneous chip multiprocessors, Proceedings of the 15th international conference on Parallel architectures and compilation techniques , PACT '06, 2006.
DOI : 10.1145/1152154.1152162

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.80.8401

S. Padmanabhan, R. K. Cytron, R. D. Chamberlain, and J. W. Lockwood, Automatic application-specific microarchitecture reconfiguration, Proceedings 20th IEEE International Parallel & Distributed Processing Symposium, 2006.
DOI : 10.1109/IPDPS.2006.1639457

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.324.2029

R. Kumar, K. I. Farkas, N. P. Jouppi, P. Ranganathan, and D. M. Tullsen, Single-ISA heterogeneous multi-core architectures: the potential for processor power reduction, 22nd Digital Avionics Systems Conference. Proceedings (Cat. No.03CH37449), 2003.
DOI : 10.1109/MICRO.2003.1253185

F. Sun, S. Ravi, A. Raghunathan, and N. K. Jha, Application-Specific Heterogeneous Multiprocessor Synthesis Using Extensible Processors, IEEE Transactions on Computer Aided Design of Integrated circuits and systems, vol.25, issue.9, 2006.

M. Phillip, AltiVec Technology: Accelerating Media Processing Across the Spectrum, HOTCHIPS10, 1998.

M. Coppola, S. Curaba, M. D. Grammatikakis, G. Maruccia, and F. Papariello, OCCN: a network-on-chip modeling and simulation framework, Proceedings Design, Automation and Test in Europe Conference and Exhibition, pp.174-179
DOI : 10.1109/DATE.2004.1269226

. Agility, Autovectorization in GCC, GCC Developers' Summit, pp.105-117, 2004.

. Vast-code and . Optimizer, Available, ] International Technology Roadmap for Semiconductors: Design, 2005.

R. Ernst, Codesign of embedded systems: status and trends, IEEE Design & Test of Computers, vol.15, issue.2, pp.45-54, 1998.
DOI : 10.1109/54.679207

G. D. Micheli and R. K. Gupta, Hardware/software co-design, Proceedings of the IEEE, vol.85, issue.3, pp.349-365, 1997.
DOI : 10.1007/978-94-009-0187-2

R. K. Gupta, Co-Synthesis of Hardware and Software for Digital Embedded Systems, 1995.
DOI : 10.1007/978-1-4615-2287-4

R. Ernst, J. Henkel, and T. Brenner, Hardware-software cosynthesis for microcontrollers, IEEE Design & Test of Computers, vol.10, issue.4, pp.64-75, 1993.
DOI : 10.1109/54.245964

F. Balarin, M. Chiodo, P. Giusti, H. Hsieh, A. Jurescka et al., Hardware-Software Co-Design of Embedded Systems: The Polis Approach, 1997.
DOI : 10.1007/978-1-4615-6127-9

D. D. Gajski, J. Zhu, R. D¨omer, A. Gerstlauer, and S. Zhao, Spec C: Specification Language and Methodology, 2000.
DOI : 10.1007/978-1-4615-4515-6

A. Mihal, C. Kulkarni, M. Moskewicz, M. Tsai, N. Shah et al., Developing architectural platforms: a disciplined approach, IEEE Design & Test of Computers, vol.19, issue.6, pp.6-16, 2002.
DOI : 10.1109/MDT.2002.1047739

I. Sander and A. Jantsch, System modeling and transformational design refinement in ForSyDe [formal system design] " , Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.23, issue.s, pp.17-32, 2004.

A. Jerraya and W. Wolf, Multiprocessor Systems-on-Chip, 2005.
URL : https://hal.archives-ouvertes.fr/hal-01391627

C. Rowen and S. Leibson, Engineering the Complex SOC, 2004.

S. Leibson and J. Kim, Configurable processors: a new era in chip design, Computer, vol.38, issue.7, pp.51-59, 2005.
DOI : 10.1109/MC.2005.226

R. Goering, Multicore design strives for balance... but programming, debug tools complicate adoption, Electronics Engineering Times, 2006.

M. Itoh, S. Higaki, Y. Takeuchi, A. Kitajima, M. I. Sato et al., PEAS-III: an ASIP design environment, Proceedings 2000 International Conference on Computer Design, pp.430-436, 2000.
DOI : 10.1109/ICCD.2000.878319

G. Martin, ESL Requirements for Configurable Processor based Embedded System Design, pp.15-20, 2005.

P. Van-der-wolf, Design and programming of embedded multiprocessors, Proceedings of the 2nd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis , CODES+ISSS '04, pp.206-217, 2004.
DOI : 10.1145/1016720.1016771

P. Paulin, Application of a multi-processor SoC platform to high-speed packet forwarding, Proceedings Design, Automation and Test in Europe Conference and Exhibition, pp.58-63, 2004.
DOI : 10.1109/DATE.2004.1269203

K. Diefendorff, P. K. Dubey, S. K. Raman, V. Pentkovski, J. Keshava et al., AMD 3DNow! Technology and the K6-2 Microprocessor AltiVec Technology: Accelerating Media Processing Across the Spectrum Implementing Streaming SIMD Extensions on the Pentium III Processor A Low-power, High-speed Implementation of a PowerPC Microprocessor Vector Extension AltiVec Vectorizes PowerPC Forthcoming Multimedia Extensions Improve on MMX " Microprocvessor reportCustom-instruction synthesis for extensibleprocessor platforms, How Multimedia Workloads Will Change Processor Design IEEE Micro HOTCHIPS10 HOTCHIPS10 IEEE Micro14 th IEEE Symposium on Computer Arithmetic Xilinx, Inc. [3.9] Fei Sun Computer-Aided Design of Integrated Circuits and Systems, pp.43-45, 1997.

K. Atasu, L. Pozzi, and P. Ienne, Automatic application-specific instruction-set extensions under microarchitectural constraints, Proceedings Volume , Issue, pp.2-6, 2003.
DOI : 10.1109/dac.2003.1219004

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.1.2401

N. Clark and S. Hongtao-zhong-;-mahlke, Processor acceleration through automated instruction set customization, 22nd Digital Avionics Systems Conference. Proceedings (Cat. No.03CH37449), pp.3-5, 2003.
DOI : 10.1109/MICRO.2003.1253189

URL : http://cccp.eecs.umich.edu/papers/ntclark-micro03.pdf

J. A. Fisher, Customized instruction sets for embedded processors, Proc. Design Automation Conf, pp.253-257, 1999.
DOI : 10.1145/309847.309923

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.409.5463

M. , B. Jr, and J. P. Shen, Architecture synthesis of high-performance applicationspecific processors, Proc. Design Automation Conf, pp.542-548, 1990.

R. Cloutier and D. E. Thomas, Synthesis of pipelined instruction set processors, Proceedings of the 30th international on Design automation conference , DAC '93, pp.583-588, 1993.
DOI : 10.1145/157485.165053

P. M. Athanas and H. F. Silverman, Processor reconfiguration through instruction-set metamorphosis, Computer, vol.26, issue.3, pp.11-18, 1993.
DOI : 10.1109/2.204677

J. K. Adams and D. E. Thomas, The design of mixed hardware/software systems, Proc. Design Automation Conf, pp.515-520, 1996.

A. Kitajima, M. Itoh, J. Sato, A. Shiomi, Y. Takeuchi et al., Effectiveness of the ASIP design system PEAS-III in design of pipelined processors, Proc. Asia South Pacific Design Automation Conf, pp.649-654, 2001.

H. Choi, J. H. Yi, J. Lee, I. Park, and C. Kyung, Exploiting intellectual properties in ASIP designs for embedded DSP software, Proceedings of the 36th ACM/IEEE conference on Design automation conference , DAC '99, pp.939-944, 1999.
DOI : 10.1145/309847.310103

A. Pyttel, A. Sedlmeier, and C. Veith, PSCP: A scalable parallel ASIP architecture for reactive systems, Proceedings Design, Automation and Test in Europe, pp.370-376, 1998.
DOI : 10.1109/DATE.1998.655884

K. Buchenrieder, A. Pyttel, and C. Veith, Mapping statechart models onto an FPGA-based ASIP architecture, Proceedings EURO-DAC '96. European Design Automation Conference with EURO-VHDL '96 and Exhibition, pp.184-189, 1996.
DOI : 10.1109/EURDAC.1996.558203

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.17.1769

D. Goodwin and D. Petkov, Automatic generation of application specific processors, Proceedings of the international conference on Compilers, architectures and synthesis for embedded systems , CASES '03, pp.137-147, 2003.
DOI : 10.1145/951710.951730

N. Clark, H. Zhong, and S. Mahlke, Processor acceleration through automated instruction set customization, 22nd Digital Avionics Systems Conference. Proceedings (Cat. No.03CH37449), pp.129-140, 2003.
DOI : 10.1109/MICRO.2003.1253189

URL : http://cccp.eecs.umich.edu/papers/ntclark-micro03.pdf

I. Huang, Co-Synthesis of Instruction Sets and Microarchitectures, 1994.

F. Sun, Synthesis of custom processors based on extensible platforms, Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design , ICCAD '02, pp.641-648, 2002.
DOI : 10.1145/774572.774667

P. Brisk, A. Kaplan, and M. Sarrafzadeh, Area-efficient instruction set synthesis for reconfigurable system-on-chip designs, Proceedings of the 41st annual conference on Design automation , DAC '04, pp.395-400, 2004.
DOI : 10.1145/996566.996679

K. Atasu, L. Pozzi, and I. , Automatic Application-Specific Instruction Set Extensions under Microarchitectural Constraints, Design Automation Conf, 2003.

V. Raghunathan, A. Raghunathan, M. B. Srivastave, and M. D. Ercegovac, High-level synthesis with SIMD units, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design, 2002.
DOI : 10.1109/ASPDAC.2002.994955

N. Togawa, M. Yanagisawa, and T. Ohtsuki, A Hardware/Software Cosynthesis System for Digital Signal Processor Cores, IEICE Trans. Fundamentals, issue.11, 1999.

P. Faraboschi, Lx: a technology platform for customizable VLIW embedded processing [3.30] Altera. Nios embedded processor system development, ISCA, 2000.

D. Nicholas, Autovectorization in GCC, GCC Developers' Summit, pp.105-117, 2004.

D. Vast-code-optimizer, L. Etiemble, and . Lacassagne, 16-bit FP sub-word parallelism to facilitate compiler vectorization and improve performance of image and media processing, Proceedings of International Conference on Parallel Processing, 2004.

I. Xilinx, 1i Core Generator user Guide [3.43] Xilinx ISE 7.1 Synthesis and Verification User Guide [3.44] ModelSIM SE User's manual v6.0a, 2004.

I. Kuroda and T. Nishitani, Multimedia processors, Proceedings of the IEEE, pp.1203-1221, 1998.
DOI : 10.1109/5.687835

K. Kim, R. Karri, and M. Potkonjak, Synthesis of application specific programmable processors, Proceedings of the 34th annual conference on Design automation conference , DAC '97, pp.353-358, 1997.
DOI : 10.1145/266021.266164

I. Huang and A. M. Despain, Generating instruction sets and microarchitectures from applications, Proc. Int. Conf. Computer-Aided Design, pp.391-396, 1994.

K. Kucukcakar, An ASIP design methodology for embedded systems, Proceedings of the Seventh International Workshop on Hardware/Software Codesign (CODES'99) (IEEE Cat. No.99TH8450), pp.17-21, 1999.
DOI : 10.1109/HSC.1999.777384

V. S. Lapinski, Algorithms for compiler-assisted design space exploration of clustered VLIW ASIP datapaths, Elect. And Comput. Eng. Dept, 2001.

S. Aditya, B. R. Rau, and V. Kathail, Automatic architectural synthesis of VLIW and EPIC processors, Proceedings 12th International Symposium on System Synthesis, pp.107-113, 1999.
DOI : 10.1109/ISSS.1999.814268

W. Zhao and C. A. Papachristou, An evolution programming approach on multiple behaviors for the design of application specific programmable processors, Proc. Eur. Design Test Conf, pp.144-150, 1996.

T. Bucher, C. Curio, J. Edelbrunner, C. Igel, D. Kastrup et al., Image processing and behavior planning for intelligent vehicles, Industrial Electronics, IEEE Transactions on, vol.50, issue.1s, pp.62-75, 2003.

L. Wang, Wolfgang Niehsen; Nan-Ning Zheng;, IVS 05: new developments and research trends for intelligent vehicles Intelligent Systems, IEEE [see also IEEE Intelligent Systems and Their Applications, 2005.

J. C. Mccall and M. M. Trivedi, Video-based lane estimation and tracking for driver assistance: survey, system, and evaluation, Intelligent Transportation Systems, IEEE Transactions on, vol.7, issue.1s, pp.20-37, 2006.

A. P. Girard, S. Spry, and J. K. Hedrick, Intelligent cruise control applications: real-time embedded hybrid control software, Robotics & Automation Magazine, IEEE, vol.12, issue.1s, pp.22-28, 2005.
DOI : 10.1109/mra.2005.1411415

W. Van-der-mark and D. M. Gavrila, Real-time Dense Stereo for intelligent vehicles Intelligent Transportation Systems, IEEE Transactions on, vol.7, issue.1s, pp.38-50, 2006.

K. D. Muller-glaser, G. Frick, E. Sax, and M. Kuhl, Multiparadigm Modeling in Embedded Systems Design, IEEE Transactions on Control Systems Technology, vol.12, issue.2, pp.279-292, 2004.
DOI : 10.1109/TCST.2004.824340

S. Agility, O. Chtourou, and . Hammami, SystemC Space Exploration of Behavioral Synthesis Options on Area, Performance and Power consumption, IEEE International Conference on Microelectronics (ICM Islamabad, 2005.

L. Cai and D. Gajski, Transaction Level Modeling: an overview, IEEE/ACM/IFIP International Conference on Hardware/Software codesign and System Synthesis, pp.19-24, 2003.
DOI : 10.1109/codess.2003.1275250

N. Calazans, E. Moreno, F. Hessel, V. Rosa, F. Moraes et al., From VHDL register transfer level to SystemC transaction level modeling: a comparative case study, 16th Symposium on Integrated Circuits and Systems Design, 2003. SBCCI 2003. Proceedings., pp.355-360, 2003.
DOI : 10.1109/SBCCI.2003.1232853

O. Capdevielle and P. Dalle, Image processing chain construction by interactive goal specification, Proceedings of 1st International Conference on Image Processing, pp.816-820, 1994.
DOI : 10.1109/ICIP.1994.413775

Y. Abchiche, P. Dalle, and Y. Magnien, Adaptative Concept Building by Image Processing Entity Structuration Numerical recipes: The art of scientific computing, 1989.

T. Liu and N. Zheng, Hong Cheng; Zhengbei Xing, Multi-DSP based intelligent vehicle vision system and software & hardware co-realization, Intelligent Control and Automation, pp.15-19, 2004.

T. Pop, P. Pop, P. Eles, and Z. Peng, Bus Access Optimization for FlexRay-based Distributed Embedded Systems, 2007.

W. Zheng, M. D. Natale, A. S. Vincentelli, C. Pinello, and P. Giusto, Synthesis of Task and Message Activation Models in Real-Time Distributed Automotive Systems, 2007 Design, Automation & Test in Europe Conference & Exhibition, 2007.
DOI : 10.1109/DATE.2007.364573

R. B. France, S. Ghosh, T. Dinh-trong, and A. Solberg, Model-Driven Development Using UML 2.0: Promises and Pitfalls, Computer, vol.39, issue.2, pp.59-66, 2006.
DOI : 10.1109/MC.2006.65

C. Jouvray, S. Gerard, F. Terrier, S. Bouaziz, and R. Reynaud, UML methodology for smart transducer integration in real-time embedded systems, Intelligent Vehicles Symposium, Proceedings. IEEE, vol.6, issue.s, pp.688-693, 2005.

S. Gerard, C. Mraidha, F. Terrier, and B. Baudry, A UML-based concept for high concurrency: the real-time object Object-Oriented Real-Time Distributed Computing, Proceedings. Seventh IEEE International Symposium on 2004 Page(s), pp.64-67, 2004.

H. Saiedian and S. Raghuraman, Using UML-based rate monotonic analysis to predict schedulability, Computer, vol.37, issue.10, pp.56-63, 2004.
DOI : 10.1109/MC.2004.183

P. Tseng, Trong-Yen Lee; Jin-Ming, Fu; Win-Bin See, VERTAF: an application framework for the design and verification of embedded real-time software, Software Engineering, IEEE Transactions on, vol.30, issue.10, 2004.

M. Bjerkander and C. Kobryn, Architecting systems with UML 2.0, Software, pp.57-61, 2003.

E. Dimitrov, A. Schmietendorf, and R. Dumhe, UML-based performance engineering possibilities and techniques, Software, IEEE, vol.19, issue.1s, pp.74-83, 2002.

E. Riccobene, P. Scandurra, A. Rosti, and S. Bocchio, A model-driven design environment for embedded systems, Proceedings of the 43rd annual conference on Design automation , DAC '06, p.43, 2006.
DOI : 10.1145/1146909.1147141

J. Dekeyser, P. Boulet, P. Marquet, and S. Meftali, Model driven engineering for SoC co-design The 3rd International, IEEE-NEWCAS Conference, issue.s, pp.21-25, 2005.

C. Hua, Zhou ZuCheng Shang YaoHui;, Modeling SystemC design in UML and automatic code generation, Design Automation Conference Proceedings of the ASP-DAC 2005. Asia and South Pacific, pp.18-21, 2005.

J. Kreku, M. Etelapera, and J. Soininen, Exploitation of UML 2.0?Based Platform Service Model and SystemC Workload Simulation in MPEG-4 Partitioning System-on-Chip, Proceedings. 2005 International Symposium on 15-17 Nov. 2005 Page(s), pp.167-170, 2005.

Y. Zhu-;-zhenxin-sun-;-weng-fai-wong-;-maxiaguine and A. , Using UML 2.0 for system level design of real time SoC platforms for stream processing, Embedded and Real-Time Computing Systems and Applications, Proceedings. 11th IEEE International Conference on, pp.17-19, 2005.

K. D. Nguyen, P. S. Thiagarajan, . Weng-fai, and . Wong, Zhenxin Sun, Model-driven SoC design via executable UML to SystemC Real-Time Systems Symposium Proceedings. 25th IEEE International, pp.459-468, 2004.

C. Schulz-key, M. Winterholer, T. Schweizer, T. Kuhn, and W. Rosentiel, Object-oriented modeling and synthesis of SystemC specifications Design Automation Conference, Proceedings of the ASP-DAC 2004. Asia and South Pacific 27-30, pp.238-243, 2004.

E. Riccobene, P. Scandurra, A. Rosti, and S. Bocchio, A model-driven design environment for embedded systems, Proceedings of the 43rd annual conference on Design automation , DAC '06, 2006.
DOI : 10.1145/1146909.1147141

M. Krause, O. Bringmann, A. Hergenhan, G. Tabanoglu, and W. , Timing Simulation of Interconnected AUTOSAR Software-Components, in DATE, 2007.

P. Popp, M. D. Natale, P. Giusto, S. Kanajan, and C. Pinello, Towards a Methodology for the Quantitative Evaluation of Automotive Architectures, 2007 Design, Automation & Test in Europe Conference & Exhibition, 2007.
DOI : 10.1109/DATE.2007.364643

R. , B. Mouhoub, and O. Hammami, MOCDEX: Multiprocessor on Chip Multiobjective Design Space Exploration with Direct Execution, EURASIP Journal on Embedded Systems, vol.2006, 2006.
URL : https://hal.archives-ouvertes.fr/hal-00847913

S. Saponara, E. Petri, M. Tonarelli, I. Corona, and L. Fanucci, FPGA-based Networking Systems for High Data-rate and Reliable In-vehicle Communications, 2007 Design, Automation & Test in Europe Conference & Exhibition, 2007.
DOI : 10.1109/DATE.2007.364639

C. Claus, J. Zeppenfeld, F. Muller, and W. Stechele, Using Partial-Run-Time Reconfigurable Hardware to accelerate Video Processing in Driver Assistance System, 2007 Design, Automation & Test in Europe Conference & Exhibition, 2007.
DOI : 10.1109/DATE.2007.364642

O. Hammami, Automatic Design Space Exploration of Automotive Electronics: The case of AUTOSAR, submitted for publication

S. Hamilton, Intel research expands Moore's law, Computer, vol.36, issue.1, pp.31-40, 2003.
DOI : 10.1109/MC.2003.1160054

G. Qu, N. Kawabe, K. Usame, and M. Potkonjak, Function-level power estimation methodology for microprocessors, Proceedings of the 37th conference on Design automation , DAC '00, 2000.
DOI : 10.1145/337292.337786

R. Bergamaschi and Y. W. Jiang, State-based power analysis for systems-onchip, Proceedings of the 40th Design Automation Conference, 2003.

L. Zhong, S. Ravi, A. Raghunathan, and N. K. Jha, Power Estimation for Cycle-Accurate Functional Descriptions of Hardware, 2004.

W. Nabel, Predictable design of low power systems by pre-implementation estimation and optimization, ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753), pp.27-30, 2004.
DOI : 10.1109/ASPDAC.2004.1337531

K. S. Vallerio and N. K. Jha, Task graph extraction for embedded system synthesis, 16th International Conference on VLSI Design, 2003. Proceedings., pp.480-486, 2003.
DOI : 10.1109/ICVD.2003.1183180

S. Chtourou and O. Hammami, SystemC Space Exploration of Behavioral Synthesis Options on Area, Performance and Power consumption, 2005 International Conference on Microelectronics, 2005.
DOI : 10.1109/ICM.2005.1590039

G. Oikonomakos, P. Panagopoulos, I. Poulakis, I. Papakonstantinou, and G. , Behavioral synthesis with SystemC, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001, p.839, 2001.
DOI : 10.1109/DATE.2001.914995

V. Singh-saun-;-preeti-ranjan and . Panda, Extracting exact finite state machines from behavioral SystemC descriptions, Proceedings of International Conference on VLSI Design, pp.280-285, 2005.

E. Grimpe and F. Oppenheimer, Extending the SystemC synthesis subset by object-oriented features, Proceedings of the 1st IEEE/ACM/IFIP international conference on Hardware/software codesign & system synthesis , CODES+ISSS '03, 2003.
DOI : 10.1145/944650.944652

S. Keutzer, A. R. Malik, and . Newton, New Degrees of Parallelism in Complex SOCs From ASIC to ASIP: The next design discontinuity, References [5.1] International Technology Roadmap for Semiconductors: Design Proc. Int. Conf. Computer Design, pp.84-90, 2002.

J. Huang and A. M. Despain, Generating instruction sets and microarchitectures from applications, Proc. Int. Conf. Computer-Aided Design, pp.391-396, 1994.

J. Van-praet, G. Goossens, D. Lanneer, and H. De-man, Instruction set definition and instruction selection for ASIPs, Proceedings of 7th International Symposium on High-Level Synthesis, pp.11-16, 1994.
DOI : 10.1109/ISHLS.1994.302348

R. Leupers and P. Marwedel, Instruction set extraction from programmable structures, Proc. Eur. Design Automation Conf, pp.156-160, 1994.
DOI : 10.1007/978-1-4757-2570-4_3

C. Liem, T. May, and P. Paulin, Instruction-set matching and selection for DSP and ASIP code generation, Proceedings of European Design and Test Conference EDAC-ETC-EUROASIC, pp.31-37, 1994.
DOI : 10.1109/EDTC.1994.326902

W. E. Dougherty, D. J. Pursley, and D. E. Thomas, Subsetting behavioral Intellectual property for low power ASIP design, The Journal of VLSI Signal Processing, vol.21, issue.3, pp.209-218, 1999.
DOI : 10.1023/A:1008010602067

K. Kucukcakar, An ASIP design methodology for embedded systems, Proceedings of the Seventh International Workshop on Hardware/Software Codesign (CODES'99) (IEEE Cat. No.99TH8450), pp.17-21, 1999.
DOI : 10.1109/HSC.1999.777384

H. Choi, J. Kim, C. Yoon, I. Park, S. H. Hwang et al., Synthesis of application specific instructions for embedded DSP software, Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design , ICCAD '98, pp.603-614, 1999.
DOI : 10.1145/288548.289109

J. E. Lee, K. Choi, and N. Dutt, Efficient instruction encoding for automatic instruction set design of configurable ASIPs, Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design , ICCAD '02, pp.649-654, 2002.
DOI : 10.1145/774572.774668

F. Sun, S. Ravi, A. Raghunathan, and N. K. Jha, Synthesis of custom processors based on extensible platforms, Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design , ICCAD '02, pp.641-648, 2002.
DOI : 10.1145/774572.774667

F. Sun, S. Ravi, A. Raghunathan, and N. K. Jha, A scalable application-specific processor synthesis methodology, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486), pp.283-290, 2003.
DOI : 10.1109/ICCAD.2003.159702

N. Cheung, S. Parameswaran, and J. Henkel, INSIDE: Instruction selection/identification and design exploration for extensible processors, Proc. Int. Conf. Computer-Aided Design, pp.291-297, 2003.

N. Cheung, S. Parameswaran, J. Henkel, and J. Chan, MINCE: matching instructions using combinational equivalence for extensible processor, Proceedings Design, Automation and Test in Europe Conference and Exhibition, pp.1020-1027, 2004.
DOI : 10.1109/DATE.2004.1269027

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.100.3044

K. Atasu, L. Pozzi, and P. Ienne, Automatic application-specific instruction-set extensions under microarchitectural constraints, Proc. Design Automation Conf, pp.256-261, 2003.
DOI : 10.1109/dac.2003.1219004

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.1.2401

N. Clark, H. Zhong, W. Tang, and S. Mahlke, Processor acceleration through automated instruction set customization, 22nd Digital Avionics Systems Conference. Proceedings (Cat. No.03CH37449), pp.40-47, 2003.
DOI : 10.1109/MICRO.2003.1253189

URL : http://cccp.eecs.umich.edu/papers/ntclark-micro03.pdf

A. Peymandoust, L. Pozzi, P. Ienne, and G. Micheli, Automatic instruction set extension and utilization for embedded processors, Proceedings IEEE International Conference on Application-Specific Systems, Architectures, and Processors. ASAP 2003, pp.108-118, 2003.
DOI : 10.1109/ASAP.2003.1212834

P. Biswas and N. Dutt, Greedy and heuristic-based algorithm for synthesis of complex instructions in heterogeneous-connectivity-based DSPs, School Inf. Comput. Sci, pp.3-16, 2003.

P. Biswas, K. Atasu, V. Choudhary, L. Pozzi, N. Dutt et al., Introduction of local memory elements in instruction set extensions, Proceedings of the 41st annual conference on Design automation , DAC '04, pp.729-734, 2004.
DOI : 10.1145/996566.996765

D. Goodwin and D. Petkov, Automatic generation of application specific processors, Proceedings of the international conference on Compilers, architectures and synthesis for embedded systems , CASES '03, pp.137-147, 2003.
DOI : 10.1145/951710.951730

M. O. Cheema and O. Hammami, Application-specific SIMD synthesis for reconfigurable architectures", Special Issue on FPGAs of Microprocessor and Microsystems, pp.398-412, 2006.

K. K. Ryu, V. J. Mooney, and I. , Automated Bus Generation for Multiprocessor SoC Design, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.23, issue.11, 2003.
DOI : 10.1109/TCAD.2004.835119

S. Murali and G. D. Micheli, An Application-Specific Design Methodology for STbus Crossbar Generation, Design, Automation and Test in Europe, 2005.
DOI : 10.1109/DATE.2005.50

URL : https://hal.archives-ouvertes.fr/hal-00181290

L. Benini and G. Micheli, Networks on chips: a new SoC paradigm, Computer, vol.35, issue.1, pp.70-78, 2002.
DOI : 10.1109/2.976921

W. Dally and B. Towles, Route packets, not wires: on-chip interconnection networks, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232), pp.684-689, 2001.
DOI : 10.1109/DAC.2001.935594

A. Hemani, Network on a chip: An architecture for billion transistor era, Proc. IEEE NorChip Conf, pp.166-173, 2000.

T. Bjerregaard and S. Mahadevan, A survey of research and practices of Network-on-chip, ACM Computing Surveys, vol.38, issue.1, 2006.
DOI : 10.1145/1132952.1132953

Y. Umit, J. Ogras, R. Hu, and . Marculescu, Key Research Problems in NoC Design: A Holistic Perspective, Proceedings of the 3rd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, pp.69-74, 2005.

S. Kumar, A network on chip architecture and design methodology, Proceedings IEEE Computer Society Annual Symposium on VLSI. New Paradigms for VLSI Systems Design. ISVLSI 2002, pp.105-112, 2002.
DOI : 10.1109/ISVLSI.2002.1016885

E. B. Van-der-tol and E. G. Jaspers, Mapping of MPEG-4 decoding on a flexible architecture platform, Media Processors 2002, pp.1-13, 2002.
DOI : 10.1117/12.451067

A. Pinto, Efficient synthesis of networks on chip, Proceedings 21st International Conference on Computer Design, pp.146-150, 2003.
DOI : 10.1109/ICCD.2003.1240887

J. Hu and R. Marculescu, Energy-aware mapping for tile-based NoC architectures under performance constraints, Proceedings of the 2003 conference on Asia South Pacific design automation , ASPDAC, pp.233-239, 2003.
DOI : 10.1145/1119772.1119818

D. Bertozzi, A. Jalabert, S. Murali, R. Tamhankar, S. Stergiou et al., NoC synthesis flow for customized domain specific multiprocessor systems-on-chip, IEEE Transactions on Parallel and Distributed Systems, vol.16, issue.2, 2005.
DOI : 10.1109/TPDS.2005.22

K. Goossens, J. Dielissen, O. Prakash-gangwal, S. G. Pestana, A. R?adulescu et al., A Design Flow for Application-Specific Networks on Chip with Guaranteed Performance to Accelerate SOC Design and Verification, Design, Automation and Test in Europe
DOI : 10.1109/DATE.2005.11

URL : https://hal.archives-ouvertes.fr/hal-00181291

A. Pinto, Efficient synthesis of networks on chip, Proceedings 21st International Conference on Computer Design, pp.146-150, 2003.
DOI : 10.1109/ICCD.2003.1240887

W. H. Ho and T. M. Pinkston, A Methodology for Designing Efficient On-Chip Interconnects on Well-Behaved Communication Patterns, pp.377-388, 2003.

T. Ahonen, Topology optimization for application-specific networks-on-chip, Proceedings of the 2004 international workshop on System level interconnect prediction , SLIP '04
DOI : 10.1145/966747.966758

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.104.7988

K. Srinivasan, An automated technique for topology and route generation of application specific on-chip interconnection networks, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005., pp.6-10, 2005.
DOI : 10.1109/ICCAD.2005.1560070

D. Sheldon, R. Kumar, F. Vahid, R. Lysecky, and D. Tullsen, Application- Specific Customization of Parameterized FPGA Soft-Core Processors, International Conference on Computer-Aided Design, ICCAD, 2006.

R. Kumar, D. Tullsen, and N. Jouppi, Core architecture optimization for heterogeneous chip multiprocessors, Proceedings of the 15th international conference on Parallel architectures and compilation techniques , PACT '06, 2006.
DOI : 10.1145/1152154.1152162

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.80.8401

S. Padmanabhan, R. K. Cytron, R. D. Chamberlain, and J. W. Lockwood, Automatic application-specific microarchitecture reconfiguration, Proceedings 20th IEEE International Parallel & Distributed Processing Symposium, 2006.
DOI : 10.1109/IPDPS.2006.1639457

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.324.2029

R. Kumar, K. I. Farkas, N. P. Jouppi, P. Ranganathan, and D. M. Tullsen, Single-ISA heterogeneous multi-core architectures: the potential for processor power reduction, 22nd Digital Avionics Systems Conference. Proceedings (Cat. No.03CH37449), 2003.
DOI : 10.1109/MICRO.2003.1253185

F. Sun, S. Ravi, A. Raghunathan, and N. K. Jha, Application-Specific Heterogeneous Multiprocessor Synthesis Using Extensible Processors, IEEE Transactions on Computer Aided Design of Integrated circuits and systems, vol.25, issue.9, 2006.

M. Phillip, AltiVec Technology: Accelerating Media Processing Across the Spectrum, HOTCHIPS10, 1998.

M. Powerpc-simulator-coppola, S. Curaba, M. D. Grammatikakis, G. Maruccia, and F. Papariello, OCCN: a network-onchip modeling and simulation framework, Proceedings of DATE 2004, pp.174-179

. Agility, Autovectorization in GCC, GCC Developers' Summit, pp.105-117, 2004.

. Vast-code and . Optimizer, Available