]. K. Beardmore and &. R. Smith, Empirical potentials for C-Si-H systems with application to C60 interactions with Si crystal surfaces, Philosophical Magazine, Part A, vol.74, p.14391466, 1996.

H. J. Berendsen, J. P. Postma, W. F. Van-gunsteren, A. Dinola, and &. J. Haak, Molecular dynamics with coupling to an external bath, The Journal of Chemical Physics, vol.81, issue.8
DOI : 10.1063/1.448118

G. Bird, Molecular gas dynamics and the direct simulation of gas ows, 1994.

D. Bohm, E. H. Burhop, and &. H. Massey, The characteristics of electrical discharges in magnetic eld, 1949.

N. S. Braithwaite and &. J. Allen, Boundaries and probes in electronegative plasmas, Journal of Physics D: Applied Physics, vol.21, issue.12, p.17331737, 1988.
DOI : 10.1088/0022-3727/21/12/011

J. D. Bukowski, D. B. Graves, and &. P. Vitello, Two???dimensional fluid model of an inductively coupled plasma with comparison to experimental spatial profiles, Journal of Applied Physics, vol.80, issue.5, p.2614, 1996.
DOI : 10.1063/1.363169

L. Carter, Simulated reaction dynamics of F atoms on partially uorinated Si(100) surfaces, Surface Science, vol.360, p.200212, 1996.

P. Chabert, A. J. Lichtenberg, M. A. Lieberman, and &. M. Marakhtanov, Instabilities in low-pressure electronegative inductive discharges, Plasma Sources Science and Technology, vol.10, issue.3, p.478, 2001.
DOI : 10.1088/0963-0252/10/3/313

P. Chabert, H. Abada, J. P. Booth, and &. M. Lieberman, Radical dynamics in unstable CF4 inductive discharges, Journal of Applied Physics, vol.94, issue.1, p.76, 2003.
DOI : 10.1063/1.1578175

]. P. Chabert-03b, A. J. Chabert, M. A. Lichtenberg, &. M. Lieberman, and . Marakhtanov, Dynamics of steady and unsteady operation of inductive discharges with attaching gases, Journal of Applied Physics, vol.94, issue.2, p.831, 2003.
DOI : 10.1063/1.1580196

P. J. Chantry, A simple formula for diusion calculations involving wall reection and low-density, Journal of Applied Physics, vol.62, p.11411148, 1987.

L. G. Christophorou and &. J. Oltho, Electron interactions with Cl 2, BIBLIOGRAPHIE J

J. W. Coburn and &. F. Winters, Ion-and electron-assisted gas-surface chemistry-An important eect in plasma etching, Journal of Applied Physics, vol.50, p.31893196, 1979.

J. Comas and &. Cooper, Mass???Spectrometric Study of Sputtering of Single Crystals of GaAs by Low???Energy A Ions, Journal of Applied Physics, vol.38, issue.7, p.29562960, 1967.
DOI : 10.1063/1.1710031

C. S. Corr, A study of instabilities in electronegative RF-driven discharges, 2003.

C. S. Corr, P. G. Steen, and &. W. Graham, Instabilities in an inductively coupled oxygen plasma, Plasma Sources Science and Technology, vol.12, issue.2, p.265, 2003.
DOI : 10.1088/0963-0252/12/2/318

C. S. Corr, P. G. Steen, and &. W. Graham, Temporal phenomena in inductively coupled chlorine and argon???chlorine discharges, Applied Physics Letters, vol.86, issue.14
DOI : 10.1063/1.1897060

C. S. Corr, P. G. Steen, and &. W. Graham, Temporal phenomena in inductively coupled chlorine and argon???chlorine discharges, Applied Physics Letters, vol.86, issue.14
DOI : 10.1063/1.1897060

G. Cunge, B. Crowley, D. Vender, and &. M. Turner, Characterization of the E to H transition in a pulsed inductively coupled plasma discharge with internal coil geometry: bi-stability and hysteresis, Plasma Sources Science and Technology, vol.8, issue.4, p.576586, 1999.
DOI : 10.1088/0963-0252/8/4/309

G. Cunge, N. Sadeghi, and &. R. Ramos, Influence of the reactor wall composition on radicals' densities and total pressure in Cl2 inductively coupled plasmas: I. Without silicon etching, Journal of Applied Physics, vol.102, issue.9, p.93304, 2007.
DOI : 10.1063/1.2803880

R. T. Daly and &. J. Holloway, Nuclear Magnetic Octupole Moments of the Stable Gallium Isotopes, Physical Review, vol.96, issue.2, p.539540, 1954.
DOI : 10.1103/PhysRev.96.539

T. A. Davis, Algorithm 832, ACM Transactions on Mathematical Software, vol.30, issue.2, p.196199, 2004.
DOI : 10.1145/992200.992206

&. P. Despiau-pujo and . Chabert, Global model of instabilities in low-pressure inductive chlorine discharges, Plasma Sources Science and Technology, vol.18, issue.4, p.45028, 2009.
DOI : 10.1088/0963-0252/18/4/045028

URL : https://hal.archives-ouvertes.fr/hal-00462483

J. T. Gudmundsson and &. M. Lieberman, Model and measurements for a planar inductive oxygen discharge, Plasma Sources Science and Technology, vol.7, issue.1, p.112, 1998.
DOI : 10.1088/0963-0252/7/1/002

H. D. Hagstrum, Theory of Auger Neutralization of Ions at the Surface of a Diamond-Type Semiconductor, Physical Review, vol.122, issue.1, p.83113, 1960.
DOI : 10.1103/PhysRev.122.83

H. Shul, K. B. Cho, &. S. Jung, and . Pearton, Eect of additive noble gases in chlorine-based inductively coupled plasma etching of GaN, InN, and AlN, Journal of Vacuum Science Technology A, vol.17, p.768773, 1999.

D. E. Hanson, A. F. Voter, and &. J. Kress, Molecular dynamics simulation of reactive ion etching of Si by energetic Cl ions, Journal of Applied Physics, vol.82, issue.7, p.35523559, 1997.
DOI : 10.1063/1.365674

]. D. Harrison-jr, N. S. Levy, J. P. Johnson, and I. H. Eron, Computer Simulation of Sputtering, Journal of Applied Physics, vol.39, p.37423761, 1968.

G. A. Hebner and &. C. Fleddermann, Relative atomic chlorine density in inductively coupled plasmas containing chlorine and boron trichloride, Journal of Applied Physics, vol.83, issue.10, p.51025107, 1998.
DOI : 10.1063/1.367327

B. A. Helmer, Computer simulations of plasma-surface chemistry, 1998.

P. Holloway, Progress in instrumentation, data reduction, and depth proles in Auger electron spectroscopy, Applied Surface Science, vol.26, p.550560, 1986.

C. C. Hsu, M. A. Nierode, J. W. Coburn, and &. B. Graves, inductively coupled plasmas, Journal of Physics D: Applied Physics, vol.39, issue.15, p.3272, 2006.
DOI : 10.1088/0022-3727/39/15/009

D. Humbird and &. D. Graves, Atomistic simulations of spontaneous etching of silicon by uorine and chlorine, Journal of Applied Physics, vol.96, p.791798, 2004.

D. W. Humbird, Computational Studies of Plasma-Surface Interactions

D. Humbird and &. D. Graves, Atomistic simulations of Ar + -ion-assisted etching of silicon by uorine and chlorine, Journal of Vacuum Science Technology, vol.23, p.3138, 2005.

Y. Ikezoe, M. Matsuoka, &. Takabe, and . Viggiano, Gas Phase Ion–Molecule Reaction Rate Constants Through, 1986.

M. H. Khater and &. L. Overzet, Chlorine plasma and polysilicon etch characterization in an inductively coupled plasma etch reactor, Plasma Sources Science and Technology, vol.13, issue.3, p.466, 2004.
DOI : 10.1088/0963-0252/13/3/014

H. S. Kim, G. Y. Lee, Y. H. Yeom, J. W. Lee, and &. I. Kim, Eects of inductively coupled plasma conditions on the etch properties of GaN and ohmic contact formations, Materials science and engineering B : Solid state materials for advanced technology, p.8287, 1997.

H. Kim, A study of GaN etch mechanisms using inductively coupled Cl2/Ar plasmas, Thin Solid Films, vol.341, issue.1-2, p.180183, 1999.
DOI : 10.1016/S0040-6090(98)01551-X

M. Kogelschatz, G. Cunge, and &. N. Sadeghi, Identification of halogen containing radicals in silicon etching plasmas and density measurement by UV broad band absorption spectroscopy, Journal of Physics D: Applied Physics, vol.37, issue.14, p.1954, 2004.
DOI : 10.1088/0022-3727/37/14/010

URL : https://hal.archives-ouvertes.fr/hal-00944895

G. P. Kota, J. W. Coburn, and &. B. Graves, The recombination of chlorine atoms at surfaces, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.16, issue.1, p.270, 1998.
DOI : 10.1116/1.580982

I. G. Kouznetsov, A. J. Lichtenberg, and &. M. Lieberman, Internal sheaths in electronegative discharges, Journal of Applied Physics, vol.86, issue.8, p.41424153, 1999.
DOI : 10.1063/1.371339

N. A. Kubota, D. J. Economou, and &. S. Plimpton, Molecular dynamics simulations of low-energy (25???200 eV) argon ion interactions with silicon surfaces: Sputter yields and product formation pathways, Journal of Applied Physics, vol.83, issue.8, p.40554063, 1998.
DOI : 10.1063/1.367225

*. Hung, Sputtering and Etching of GaN Surfaces, Journal of Physical Chemistry B, vol.105, p.1002910036, 1995.

C. Lee, &. M. Lieberman, J. W. Lee, R. J. Shul, G. A. Vawter et al., high???density plasma discharges, Reactive Ion Beam Etching of In-Containing Compound Semiconductors in an Inductively Coupled Cl 2 /Ar Plasma, pp.368-406, 1995.
DOI : 10.1116/1.579366

G. Leray, PEGASES : Plasma Propulsion with Electronegative Gases
URL : https://hal.archives-ouvertes.fr/pastel-00005935

L. Liard, Transport non linéaire dans un réacteur hélicon appliqué à la propulsion spatiale, 2009.

A. J. Lichtenberg, I. G. Kouznetsov, Y. T. Lee, M. A. Lieberman, I. D. Kaganovich et al., Modelling plasma discharges at high electronegativity, Plasma Sources Science and Technology, vol.6, issue.3, p.437449, 1997.
DOI : 10.1088/0963-0252/6/3/022

&. A. Lieberman and . Lichtenberg, Principles of plasma discharges and materials processing, 1994.
DOI : 10.1002/0471724254

A. J. Lieberman, &. A. Lichtenberg, and . Marakhtanov, Instabilities in low-pressure inductive discharges with attaching gases

&. A. Lieberman and . Lichtenberg, Principles of plasma discharges and materials processing, 2005.
DOI : 10.1002/0471724254

J. Lu, X. Meng, A. J. Springthorpe, F. R. Shepherd, and &. M. Poirier, Inductively coupled plasma etching of GaAs low loss waveguides for a traveling waveguide polarization converter, using chlorine chemistry, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.22, issue.3, p.10581061, 2004.
DOI : 10.1116/1.1667502

C. M. Mahony, J. Mcfarland, P. G. Steen, and &. W. Graham, Structure observed in measured electron energy distribution functions in capacitively coupled radio frequency hydrogen plasmas, Applied Physics Letters, vol.75, issue.3, p.331, 1999.
DOI : 10.1063/1.124366

M. V. Malyshev, N. C. Fuller, K. H. Bogart, V. M. Donnelly, and &. P. Herman, Laser-induced fluorescence and Langmuir probe determination of Cl2+ and Cl+ absolute densities in transformer-coupled chlorine plasmas, Applied Physics Letters, vol.74, issue.12, p.1666, 1999.
DOI : 10.1063/1.123648

&. V. Malyshev and . Donnelly, Diagnostics of inductively coupled chlorine plasmas: Measurement of Cl2 and Cl number densities, Journal of Applied Physics, vol.88, issue.11, p.6207, 2000.
DOI : 10.1063/1.1321777

M. V. Malyshev, N. C. Fuller, K. H. Bogart, V. M. Donnelly, and &. P. Herman, Diagnostics of inductively coupled chlorine plasmas : Measurement of Cl + 2 and Cl + densities, Journal of Applied Physics, vol.88, p.22462251, 2000.

O. M. Maragò, B. Fazio, P. G. Gucciardi, and &. E. Arimondo, Atomic gallium laser spectroscopy with violet/blue diode lasers, Applied Physics B, vol.74, issue.8, p.809815, 2003.
DOI : 10.1007/s00340-003-1332-2

]. A. Marakhtanov, M. Tuszewski, M. A. Lieberman, A. J. Lichtenberg, and &. P. Chabert, Stable and unstable behavior of inductively coupled electronegative discharges, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.21, issue.6, p.1849, 2003.
DOI : 10.1116/1.1613953

F. G. Marro, A study of chlorine atom behaviour in inductively coupled RF plasmas, 2006.

F. G. Marro and &. W. Graham, ICP plasma, Plasma Sources Science and Technology, vol.17, issue.1, p.15007, 2008.
DOI : 10.1088/0963-0252/17/1/015007

N. Matsunami, Y. Yamamura, Y. Itikawa, N. Itoh, Y. Kazumata et al., Energy dependence of the ion-induced sputtering yields of monatomic solids, Atomic Data and Nuclear Data Tables, p.1, 1984.
DOI : 10.1016/0092-640X(84)90016-0

T. Matsutani, K. Iwamoto, T. Nagatomi, Y. Kimura, and &. Y. Takai, Flattening of Surface by Sputter-Etching with Low-Energy Ions, Japanese Journal of Applied Physics, vol.40, issue.Part 2, No. 5A, pp.481-483, 2001.
DOI : 10.1143/JJAP.40.L481

E. M. Mccash, Surface chemistry, 2001.

D. Mistele, J. Aderhold, H. Klausing, T. Rotter, O. Semchinova et al., Inuence of pre-etching on specic contact parameters for metal-GaN contacts, Semiconductor Science Technology, vol.14, p.637641, 1999.

]. S. Nakamura-97, &. G. Nakamura, and . Fasol, The blue laser diode : GaN based Light Emitters and Lasers, 1997.

J. Neijzen and &. Donszelmann, Hyperne-structure and isotope shift measurements in neutral gallium and indium with a pulse dye-laser

M. A. Nierode, Modeling Studies of Reactor-Scale, Non-Equilibrium, Plasma Discharges Using FEMLAB, 2005.

J. Nord, K. Albe, P. Erhart, and &. K. Nordlund, Modelling of compound semiconductors: analytical bond-order potential for gallium, nitrogen and gallium nitride, Journal of Physics: Condensed Matter, vol.15, issue.32, p.56495662, 2003.
DOI : 10.1088/0953-8984/15/32/324

H. Okabe, Photochemistry of Small Molecules, 1978.

K. Ono, T. Oomori, M. Tuda, and &. K. Namba, Measurements of the Cl atom concentration in radiofrequency and microwave plasmas by 2- photon laser-induced uorescence -Relation to the etching of si, J

M. J. Pellin, R. B. Wright, and &. M. Gruen, Laser uorescence spectroscopy of sputtered zirconium atoms, Journal of Chemical Physics, vol.74, p.64486457, 1981.

R. Piejak and &. Graham, Private communications, 2008.

N. Plihon, C. S. Corr, P. Chabert, and &. J. Raimbault, Periodic formation and propagation of double layers in the expanding chamber of an inductive discharge operating in Ar???SF6 mixtures, Journal of Applied Physics, vol.98, issue.2, p.23306, 2005.
DOI : 10.1063/1.1947387

URL : https://hal.archives-ouvertes.fr/hal-01140498

N. Plihon, Stabilité et structure électrique d'une décharge inductive en gaz électronégatif, Thèse de doctorat, Ecole Polytechnique, 2006.

R. Ramos, G. Cunge, M. Touzeau, and &. N. Sadeghi, Measured velocity distribution of sputtered Al atoms perpendicular and parallel to the target, Journal of Physics D: Applied Physics, vol.41, issue.15
DOI : 10.1088/0022-3727/41/15/152003

J. D. Ramshaw, Self-consistent eective binary interaction approximation for strongly coupled multiuid dynamics, Journal of Non- Equilibrium Thermodynamics, vol.23, p.135, 1998.

A. Rhallabi, Chemically assisted ion beam etching of GaAs by argon and chlorine gases: Experimental and simulation investigations, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.23, issue.5, p.1984, 2005.
DOI : 10.1116/1.2041653

G. L. Rogo, J. M. Kramer, and &. B. Piejak, A model for the bulk plasma in an RF chlorine discharge, IEEE Trans. Plasma Sci, vol.14, p.103, 1986.

N. Sadeghi, 6. Molecular Spectroscopy Techniques Applied for Processing Plasma Diagnostics, Journal of Plasma and Fusion Research, vol.80, issue.9, p.767, 2004.
DOI : 10.1585/jspf.80.767

URL : https://hal.archives-ouvertes.fr/jpa-00205697

T. A. Schoolcraft and &. B. Garrison, Chemical reaction dynamics of F atom reaction with the dimer reconstructed Si{100}(2??1) surface, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.8, issue.4, p.34963501, 1990.
DOI : 10.1116/1.576538

C. Shannon, A Mathematical Theory of Communication, Bell System Technical Journal, vol.27, p.379423, 1948.

T. E. Sheridan, Double layers in a modestly collisional electronegative discharge, Journal of Physics D: Applied Physics, vol.32, issue.15, p.17611767, 1999.
DOI : 10.1088/0022-3727/32/15/301

T. E. Sheridan, P. Chabert, and &. R. Boswell, Positive ion ux from a low-pressure electronegative discharge, Plasma Sources Science TechnologyPlasma Sources Science Technology, vol.8, p.457462, 1999.

C. R. Vartuli, &. S. Abernathy, and . Pearton, High temperature electron cyclotron resonance etching of GaN, InN, and AlN, Applied Physics Letters, vol.66, p.17611763, 1995.

P. Sigmund, Theory of Sputtering. I. Sputtering Yield of Amorphous and Polycrystalline Targets, Physical Review, vol.184, p.383416, 1969.

P. Sigmund, Sputtering of single and multiple component materials, Journal of Vacuum Science and Technology, vol.17, issue.1
DOI : 10.1116/1.570399

P. Sigmund, Sputtering by Particle Bombardment I, 1981.

R. Smith, D. E. Harrison-jr, and . Garrison, keV particle bombardment of semiconductors: A molecular-dynamics simulation, Physical Review B, vol.40, issue.1, p.93101, 1989.
DOI : 10.1103/PhysRevB.40.93

F. Soberon, F. G. Marro, W. G. Graham, A. R. Ellingboe, and &. V. Law, Chlorine plasma system instabilities within an ICP tool driven at a frequency of 13.56???MHz, Plasma Sources Science and Technology, vol.15, issue.2, p.193, 2006.
DOI : 10.1088/0963-0252/15/2/004

J. Sullivan, A study of the compositional changes in chemically etched, Ar ion bombarded and reactive ion etched GaAs(100) surfaces by means of ARXPS and LEISS, Applied Surface Science, vol.90, issue.3, p.309319, 1995.
DOI : 10.1016/0169-4332(95)00169-7

W. C. Swope, H. C. Andersen, P. H. Berens, and &. K. Wilson, A computer simulation method for the calculation of equilibrium constants for the formation of physical clusters of molecules: Application to small water clusters, The Journal of Chemical Physics, vol.76, issue.1, p.637649, 1982.
DOI : 10.1063/1.442716

M. Taguchi and &. Hamaguchi, Molecular dynamics study on Ar ion bombardment effects in amorphous SiO2 deposition processes, Journal of Applied Physics, vol.100, issue.12, p.123305, 2006.
DOI : 10.1063/1.2401651

J. Terso, Modeling solid-state chemistry : Interatomic potentials for multicomponent systems, Physical Review B, vol.39, p.55665568, 1989.

S. Tinck, W. Boullart, and &. A. Bogaerts, inductively coupled plasma: study of the effect of bias, power and pressure and comparison with experiments, Journal of Physics D: Applied Physics, vol.41, issue.6, p.65207, 2008.
DOI : 10.1088/0022-3727/41/6/065207

R. C. Tolman, Principles of Statistical Mechanics, 1938.

T. Tondu, Theory of sputtering and comparison to experimental data

M. M. Turner and &. M. Lieberman, Hysteresis and the E-to-H transition in radiofrequency inductive discharges, Plasma Sources Science and Technology, vol.8, issue.2, p.313324, 1999.
DOI : 10.1088/0963-0252/8/2/312

M. Tuszewski, An electronegative inductive discharge instability, Journal of Applied Physics, vol.79, issue.12
DOI : 10.1063/1.362666

H. M. Urbassek, Molecular-dynamics simulation of sputtering. Nuclear Instruments and Methods in, Physics Research B, vol.122, pp.427-441, 1997.

S. Valeri and &. M. Loui, AES, EELS and XPS Study of Ion-induced GaAs and InP( 110) Surface and Subsurface Modications, Surface and Interface Analysis, vol.16, p.5960, 1990.

J. J. Végh, D. Humbird, and &. D. Graves, Silicon etch by uorocarbon and argon plasmas in the presence of uorocarbon lms, Journal of Vacuum Science Technology, vol.23, p.15981604, 2005.

J. J. Végh and &. D. Graves, Molecular Dynamics Simulations Of Nanometer-Scale Feature Etch, AIP Conference Proceedings, p.7478, 2008.
DOI : 10.1063/1.2999971

]. J. Végh-08b, D. Végh, D. B. Nest, R. Graves, S. Bruce et al., Molecular dynamics simulations of near-surface modification of polystyrene: Bombardment with Ar+ and Ar+/radical chemistries, Journal of Applied Physics, vol.104, issue.3, p.34308, 2008.
DOI : 10.1063/1.2963708

P. C. Weakliem, C. J. Wu, and &. E. Carter, First-principles-derived dynamics of a surface reaction : Fluorine etching of Si(100), Physical Review Letters, vol.69, 1992.

W. Weinberg, Dynamics of Gas-Surface Interactions, 1991.

H. F. Winters and &. J. Coburn, Surface science aspects of etching reactions, Surface Science Reports, vol.14, issue.4-6, p.162269, 1992.
DOI : 10.1016/0167-5729(92)90009-Z

R. Wright, Velocity distribution of sputtered U atoms as determined by laser induced uorescence spectroscopy*1, Nuclear Instruments and Methods, vol.182, p.167178, 1981.

H. Yamada and &. S. Hamaguchi, Numerical analyses of surface interactions between radical beams and organic polymer surfaces, Plasma Physics and Controlled Fusion, vol.47, issue.5A, pp.11-18, 2005.
DOI : 10.1088/0741-3335/47/5A/002

M. Ishida, Monte Carlo simulation of the thermalization of sputtered atoms and reected atoms in the magnetron sputtering discharge, Journal of Vacuum Science Technology, vol.13, pp.101-112, 1995.

H. Tawara, Energy Dependence of Ion-Induced Sputtering Yields from Monatomic Solids at Normal Incidence. Atomic Data and Nuclear Data Tables, p.149, 1996.

P. C. Zalm, Some useful yield estimates for ion beam sputtering and ion plating at low bombarding energies, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.2, issue.2, p.151152, 1984.
DOI : 10.1116/1.582936

J. F. Ziegler, J. P. Biersack, and &. U. Littmark, The stopping and range of ions in solids, 1985.

K. Albe, K. Nordlund, J. Nord, and A. Kuronen, Modeling of compound semiconductors: Analytical bond-order potential for Ga, As, and GaAs, Physical Review B, vol.66, issue.3, pp.35205-2002
DOI : 10.1103/PhysRevB.66.035205

M. E. Barone and D. B. Graves, Molecular???dynamics simulations of direct reactive ion etching of silicon by fluorine and chlorine, Journal of Applied Physics, vol.78, issue.11, pp.6604-1995
DOI : 10.1063/1.360482

C. F. Abrams and D. B. Graves, Molecular dynamics simulations of Si etching by energetic CF3+, Journal of Applied Physics, vol.86, issue.11, p.5938, 1999.
DOI : 10.1063/1.371637

J. F. Ziegler, The Stopping and Range of Ions in Matter Pergamon, 1985.

S. Nakamura and G. Fasol, The Blue Laser Diode, 2000.

J. Sadeghi, Plasma Fusion Res, 2004.

Y. Yamamura, The Practicing Scientists handbook Van Nostrand Reinhold, Nucl. Instrum. Methods Phys. Res, vol.194, issue.2, 1978.

S. Guilet, S. Bouchoule, J. C. Corr, and P. Chabert, Optimization of a Cl[sub 2]???H[sub 2] inductively coupled plasma etching process adapted to nonthermalized InP wafers for the realization of deep ridge heterostructures, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.24, issue.5, p.2381, 2006.
DOI : 10.1116/1.2348728

R. J. Shul, G. B. Mcclellan, R. D. Broggs, D. J. Rieger, S. J. Pearton et al., High-density plasma etching of compound semiconductors, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.15, issue.3, p.633, 1997.
DOI : 10.1116/1.580696

Y. B. Hahn, D. C. Hays, S. M. Donovan, C. R. Abernathy, J. Han et al., Effect of additive noble gases in chlorine-based inductively coupled plasma etching of GaN, InN, and AlN, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.17, issue.3, p.768, 1999.
DOI : 10.1116/1.581647

M. Tuszewski, An electronegative inductive discharge instability, Journal of Applied Physics, vol.79, issue.12, p.8967, 1996.
DOI : 10.1063/1.362666

P. Chabert, A. J. Lichtenberg, M. A. Lieberman, and A. Marakhtanov, Instabilities in low-pressure electronegative inductive discharges, Plasma Sources Science and Technology, vol.10, issue.3, p.478, 2001.
DOI : 10.1088/0963-0252/10/3/313

P. Chabert, A. J. Lichtenberg, M. A. Lieberman, and A. Marakhtanov, Dynamics of steady and unsteady operation of inductive discharges with attaching gases, Journal of Applied Physics, vol.94, issue.2, p.831, 2003.
DOI : 10.1063/1.1580196

P. Chabert, H. Abada, J. P. Booth, and M. Lieberman, Radical dynamics in unstable CF4 inductive discharges, Journal of Applied Physics, vol.94, issue.1, p.76, 2003.
DOI : 10.1063/1.1578175

M. Tuszewski, R. White, and G. A. Wurden, inductive plasma discharges, Plasma Sources Science and Technology, vol.12, issue.3, p.396, 2003.
DOI : 10.1088/0963-0252/12/3/313

M. Tuszewski and R. White, Instabilities of Ar/SF6 inductive plasma discharges, Journal of Applied Physics, vol.94, issue.5, p.2858, 2003.
DOI : 10.1063/1.1600830

D. L. Goodman and N. Benjamin, Active control of instabilities for plasma processing with electronegative gases, Journal of Physics D: Applied Physics, vol.36, issue.22, p.2845, 2003.
DOI : 10.1088/0022-3727/36/22/012

C. S. Corr, P. G. Steen, and W. Graham, Instabilities in an inductively coupled oxygen plasma, Plasma Sources Science and Technology, vol.12, issue.2, p.265, 2003.
DOI : 10.1088/0963-0252/12/2/318

C. S. Corr, P. G. Steen, and W. Graham, Temporal phenomena in inductively coupled chlorine and argon???chlorine discharges, Applied Physics Letters, vol.86, issue.14, p.141503, 2005.
DOI : 10.1063/1.1897060

URL : http://hdl.handle.net/1885/16391

S. Tinch, W. Boullart, and A. Bogaerts, inductively coupled plasma: study of the effect of bias, power and pressure and comparison with experiments, Journal of Physics D: Applied Physics, vol.41, issue.6, p.65207, 2008.
DOI : 10.1088/0022-3727/41/6/065207

A. M. Efremov, K. Dong-pyo, C. , K. M. Dong-pyo, K. et al., Etching characteristics and mechanisms of SrBi2Ta2O9 thin films in CF4/Ar and Cl2/Ar inductively coupled plasmas, Thin Solid Films, vol.471, issue.1-2, pp.328-1344, 2004.
DOI : 10.1016/j.tsf.2004.06.126

A. M. Efremov, K. Dong-pyo, C. , and K. , Inductively coupled Cl2/Ar plasma: Experimental investigation and modeling, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.21, issue.4, p.1568, 2003.
DOI : 10.1116/1.1564030

F. G. Marro and W. Graham, ICP plasma, Plasma Sources Science and Technology, vol.17, issue.1, p.15007, 2008.
DOI : 10.1088/0963-0252/17/1/015007

A. Cantin and R. Gagne, Pressure dependence of electron temperature using rf???floated electrostatic probes in rf plasmas, Applied Physics Letters, vol.30, issue.7, p.316, 1977.
DOI : 10.1063/1.89406

C. Mahony, J. Mcfarland, P. G. Steen, and W. Graham, Structure observed in measured electron energy distribution functions in capacitively coupled radio frequency hydrogen plasmas, Applied Physics Letters, vol.75, issue.3, p.331, 1999.
DOI : 10.1063/1.124366

M. J. Druyvesteyn, Der Niedervoltbogen, Zeitschrift f??r Physik, vol.64, issue.11-12, p.781, 1930.
DOI : 10.1007/BF01773007

M. B. Hopkins and W. Graham, Langmuir probe technique for plasma parameter measurement in a medium density discharge, Review of Scientific Instruments, vol.57, issue.9, p.2210, 1986.
DOI : 10.1063/1.1138684

M. Bacal, G. W. Hamilton, A. Bruneteau, and J. Doucet, density in plasma by photodetachment, Review of Scientific Instruments, vol.50, issue.6, p.719, 1979.
DOI : 10.1063/1.1135906

URL : https://hal.archives-ouvertes.fr/jpa-00219379

M. Bacal and G. Hamilton, Production in Plasmas, Physical Review Letters, vol.42, issue.23, p.1538, 1979.
DOI : 10.1103/PhysRevLett.42.1538

R. A. Gottscho and C. Gaebe, Negative Ion Kinetics in RF Glow Discharges, IEEE Transactions on Plasma Science, vol.14, issue.2, p.92, 1986.
DOI : 10.1109/TPS.1986.4316511

C. B. Fleddermann and G. A. Hebner, Negative ion densities in chlorine- and boron trichloride-containing inductively coupled plasmas, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.15, issue.4, p.1955, 1997.
DOI : 10.1116/1.580665

K. Ono, T. Oomori, M. Tuda, and K. Namba, Measurements of the Cl atom concentration in radio???frequency and microwave plasmas by two???photon laser???induced fluorescence: Relation to the etching of Si, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.10, issue.4, p.1071, 1992.
DOI : 10.1116/1.578204

C. C. Hsu, M. A. Nierode, J. W. Coburn, and D. Graves, inductively coupled plasmas, Journal of Physics D: Applied Physics, vol.39, issue.15, p.3272, 2006.
DOI : 10.1088/0022-3727/39/15/009

J. D. Bukwski, D. Graves, and P. Vitello, Two???dimensional fluid model of an inductively coupled plasma with comparison to experimental spatial profiles, Journal of Applied Physics, vol.80, issue.5, p.2614, 1996.
DOI : 10.1063/1.363169

C. Lee and M. Lieberman, high???density plasma discharges, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.13, issue.2, p.368, 1995.
DOI : 10.1116/1.579366

G. L. Rogoff, J. Kramer, and R. Piejak, A Model for the Bulk Plasma in an RF Chlorne Discharge, IEEE Transactions on Plasma Science, vol.14, issue.2, p.103, 1986.
DOI : 10.1109/TPS.1986.4316512

G. P. Kota, J. W. Coburn, and D. Graves, The recombination of chlorine atoms at surfaces, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.16, issue.1, p.270, 1998.
DOI : 10.1116/1.580982

G. Cunge, N. Sadeghi, and R. Ramos, Influence of the reactor wall composition on radicals' densities and total pressure in Cl2 inductively coupled plasmas: I. Without silicon etching, Journal of Applied Physics, vol.102, issue.9, p.93304, 2007.
DOI : 10.1063/1.2803880

M. V. Malyshev and V. Donnelly, Diagnostics of inductively coupled chlorine plasmas: Measurement of electron and total positive ion densities, Journal of Applied Physics, vol.90, issue.3, p.1130, 2001.
DOI : 10.1063/1.1381044

M. H. Khater and L. J. Overzet, Chlorine plasma and polysilicon etch characterization in an inductively coupled plasma etch reactor, Plasma Sources Science and Technology, vol.13, issue.3, p.466, 2004.
DOI : 10.1088/0963-0252/13/3/014

M. Tuszewski, R. White, and G. A. Wurden, inductive plasma discharges, Plasma Sources Science and Technology, vol.12, issue.3, p.396, 2003.
DOI : 10.1088/0963-0252/12/3/313

N. Plihon, C. S. Corr, P. Chabert, and J. Raimbault, Periodic formation and propagation of double layers in the expanding chamber of an inductive discharge operating in Ar???SF6 mixtures, Journal of Applied Physics, vol.98, issue.2, p.23306, 2005.
DOI : 10.1063/1.1947387

URL : https://hal.archives-ouvertes.fr/hal-01140498

P. Chabert, A. J. Lichtenberg, M. A. Lieberman, and A. Marakhtanov, Instabilities in low-pressure electronegative inductive discharges, Plasma Sources Science and Technology, vol.10, issue.3, p.478, 2001.
DOI : 10.1088/0963-0252/10/3/313

C. S. Corr, P. G. Steen, and W. Graham, Instabilities in an inductively coupled oxygen plasma, Plasma Sources Science and Technology, vol.12, issue.2, p.265, 2003.
DOI : 10.1088/0963-0252/12/2/318

C. S. Corr, P. G. Steen, and W. Graham, Temporal phenomena in inductively coupled chlorine and argon???chlorine discharges, Applied Physics Letters, vol.86, issue.14, p.141503, 2005.
DOI : 10.1063/1.1897060

M. Tuszewski, An electronegative inductive discharge instability, Journal of Applied Physics, vol.79, issue.12, p.8967, 1996.
DOI : 10.1063/1.362666

I. M. El-fayoumi, I. R. Jones, and M. Turner, Hysteresis in the E- to H-mode transition in a planar coil, inductively coupled rf argon discharge, Journal of Physics D: Applied Physics, vol.31, issue.21, p.3082, 1998.
DOI : 10.1088/0022-3727/31/21/014

T. W. Kim and E. Aydil, plasmas, Plasma Sources Science and Technology, vol.12, issue.2, p.148, 2003.
DOI : 10.1088/0963-0252/12/2/304

URL : https://hal.archives-ouvertes.fr/hal-01285759

P. Chabert, H. Abada, J. P. Booth, and M. Lieberman, Radical dynamics in unstable CF4 inductive discharges, Journal of Applied Physics, vol.94, issue.1, p.76, 2003.
DOI : 10.1063/1.1578175

P. Chabert, A. J. Lichtenberg, M. A. Lieberman, and A. Marakhtanov, Dynamics of steady and unsteady operation of inductive discharges with attaching gases, Journal of Applied Physics, vol.94, issue.2, p.831, 2003.
DOI : 10.1063/1.1580196

A. M. Marakhtanov, M. Tuszewski, M. A. Lieberman, A. J. Lichtenberg, and P. Chabert, Stable and unstable behavior of inductively coupled electronegative discharges, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.21, issue.6, p.1849, 2003.
DOI : 10.1116/1.1613953

C. Lee and M. Lieberman, high???density plasma discharges, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.13, issue.2, p.368, 1995.
DOI : 10.1116/1.579366

S. Ashida and M. Lieberman, Spatially Averaged (Global) Model of Time Modulated High Density Chlorine Plasmas, Japanese Journal of Applied Physics, vol.36, issue.Part 1, No. 2, p.854, 1997.
DOI : 10.1143/JJAP.36.854

S. Wise, D. P. Lymberopoulos, and D. J. Economou, A two-region model of a radiofrequency low-pressure, high-density plasma, Plasma Sources Science and Technology, vol.4, issue.3, p.317, 1995.
DOI : 10.1088/0963-0252/4/3/001

V. Malyshev and V. Donnelly, Diagnostics of chlorine inductively coupled plasmas. Measurement of electron temperatures and electron energy distribution functions, Journal of Applied Physics, vol.87, issue.4, p.1642, 2000.
DOI : 10.1063/1.372072

A. M. Efremov, K. Dong-pyo, C. , and K. , Inductively coupled Cl2/Ar plasma: Experimental investigation and modeling, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.21, issue.4, p.1568, 2003.
DOI : 10.1116/1.1564030

C. S. Corr, E. Despiau-pujo, P. Chabert, W. G. Graham, F. G. Marro et al., Comparison between fluid simulations and experiments in inductively coupled argon/chlorine plasmas, Journal of Physics D: Applied Physics, vol.41, issue.18, p.185202, 2008.
DOI : 10.1088/0022-3727/41/18/185202

URL : https://hal.archives-ouvertes.fr/hal-00462480

S. Tinch, W. Boullart, and A. Bogaerts, inductively coupled plasma: study of the effect of bias, power and pressure and comparison with experiments, Journal of Physics D: Applied Physics, vol.41, issue.6, p.65207, 2008.
DOI : 10.1088/0022-3727/41/6/065207

J. T. Gudmundsson and M. Lieberman, Model and measurements for a planar inductive oxygen discharge, Plasma Sources Science and Technology, vol.7, issue.1, p.1, 1998.
DOI : 10.1088/0963-0252/7/1/002

G. L. Rogoff, J. Kramer, and R. Piejak, A Model for the Bulk Plasma in an RF Chlorne Discharge, IEEE Transactions on Plasma Science, vol.14, issue.2, p.103, 1986.
DOI : 10.1109/TPS.1986.4316512

P. J. Chantry, A simple formula for diffusion calculations involving wall reflection and low density, Journal of Applied Physics, vol.62, issue.4, p.1141, 1987.
DOI : 10.1063/1.339662

L. Stafford, M. J. Vidal, F. Chaker, M. Giroux, K. Poirier et al., Kinetics driving high-density chlorine plasmas, Journal of Applied Physics, vol.98, issue.6, p.63301, 2005.
DOI : 10.1063/1.2037873

A. Agarwal and M. J. Kushner, Seasoning of plasma etching reactors: Ion energy distributions to walls and real-time and run-to-run control strategies, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.26, issue.3, p.498, 2008.
DOI : 10.1116/1.2909966

M. V. Malyshev, N. Fuller, K. Bogart, V. M. Donnelly, and I. Herman, Laser-induced fluorescence and Langmuir probe determination of Cl2+ and Cl+ absolute densities in transformer-coupled chlorine plasmas, Applied Physics Letters, vol.74, issue.12, p.1666, 1999.
DOI : 10.1063/1.123648

M. V. Malyshev, N. Fuller, K. Bogart, V. M. Donnelly, and I. Herman, Diagnostics of inductively coupled chlorine plasmas: Measurement of Cl2+ and Cl+ densities, Journal of Applied Physics, vol.88, issue.5, p.2246, 1999.
DOI : 10.1063/1.1288156

M. V. Malyshev and V. Donnelly, Diagnostics of inductively coupled chlorine plasmas: Measurement of electron and total positive ion densities, Journal of Applied Physics, vol.90, issue.3, p.1130, 2001.
DOI : 10.1063/1.1381044

C. Corr, A study of instabilities in electronegative rf-driven discharges PhD Thesis Queen's, 2003.