A. A. Abbo, R. P. Kleihorst, V. Choudhary, L. Sevat, P. Wielage et al., Xetal-ii : A 107 gops, 600 mw massively parallel processor for video scene analysis. Solid-State Circuits, IEEE Journal, vol.43, issue.1, pp.192-201, 2008.

R. T. Kelson, A. M. Aires, A. A. Santana, and . Medeiros, Optical flow using color information : preliminary results, SAC '08 : Proceedings of the 2008 ACM symposium on Applied computing, pp.1607-1611, 2008.

A. Albiol, J. Manuel-mossi, A. Albiol, and V. Naranjo, Automatic license plate reading using mathematical morphology, Proceedings of the The 4th IASTED International Conference on Visualization, Imaging and Image Processing, 2004.

Y. Allusse, P. Horain, A. Agarwal, and C. Saipriyadarshan, GpuCV, Proceeding of the 16th ACM international conference on Multimedia, MM '08, pp.1089-1092, 2008.
DOI : 10.1145/1459359.1459578

URL : https://hal.archives-ouvertes.fr/hal-01394972

G. Amdahl, Validity of the single processor approach to achieving large scale computing capabilities, Proceedings of the April 18-20, 1967, spring joint computer conference on, AFIPS '67 (Spring), pp.483-485, 1967.
DOI : 10.1145/1465482.1465560

M. Iann and . Barron, The transputer, MiniMicro West, pp.1-8, 1983.

S. Beucher, Segmentation d'images et morphologie mathématique, Thèse de doctorat en morphologie mathématique, ENSMP, 1822.

S. Beucher, J. Blosseville, and F. Lenoir, Traffic spatial measurements using video image processing, Symposium on Optical and Optoelectronic Engineering, pp.1-6, 0287.
DOI : 10.1117/12.942815

S. Beucher and C. Lantuéjoul, Use of watersheds in contour detection. Int. workshop on image processing, real-time edge and motion detection/estimation, rennes, septembre 1979, 1979.

S. Beucher, Algorithmes sans biais de la ligne de partage des eaux. Note interne, 2002.

S. Beucher, Transformations résiduelles en morphologie numérique Version longue du papier présentéprésenté`présentéà iss, le 5 février, 2004.

S. Beucher, Numerical residues, Image and Vision Computing, vol.25, issue.4, pp.405-415, 2007.
DOI : 10.1016/j.imavis.2006.07.020

L. Biancardini, E. Dokladalova, S. Beucher, and L. Letellier, From Moving Edges to Moving Regions, IbPRIA 2005, Iberian Conference on Pattern Recognition and Image Analysis, p.10, 2005.
DOI : 10.1007/11492429_15

URL : https://hal.archives-ouvertes.fr/hal-00622292

P. Bonnot, F. Lemonnier, G. Edelin, G. Gaillat, O. Ruch et al., Definition and simd implementation of a multi-processing architecture approach on fpga, DATE08, 2008.

J. Brambor, Algorithmes de la morphologie mathématique pour les architectures orientées flux, Thèse de doctorat en morphologie mathématique, ENSMP, 2006.

J. E. Bresenham, Algorithm for computer control of a digital plotter, IBM Systems Journal, vol.4, issue.1, pp.1-6, 1998.
DOI : 10.1147/sj.41.0025

P. Brisk, A. Kaplan, and M. Sarrafzadeh, Area-efficient instruction set synthesis for reconfigurable system-on-chip designs, Proceedings of the 41st annual conference on Design automation , DAC '04, pp.395-400, 2004.
DOI : 10.1145/996566.996679

A. Broggi, G. Conte, F. Gregoretti, C. Sansò-e, R. Passerone et al., Design and implementation of the paprica parallel architecture, The Journal of VLSI Signal Processing, vol.19, issue.1, pp.5-18, 1998.
DOI : 10.1023/A:1008095714465

A. Broggi, G. Conte, F. Gregoretti, C. Sansò, and L. M. Reyneri, The evolution of the paprica system, Integr. Comput.-Aided Eng, vol.4, issue.2, pp.114-136, 1997.

M. Butts, A. M. Jones, and P. Wasson, A Structural Object Programming Model, Architecture, Chip and Tools for Reconfigurable Computing, 15th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2007), pp.55-64, 2007.
DOI : 10.1109/FCCM.2007.7

E. Casseau, C. Jego, and E. Martin, Architectural synthesis of digital signal processing applications dedicated to submicron technologies. Electronics, Circuits and Systems, The 8th IEEE International Conference on, pp.535-538, 2001.

A. E. Charlesworth, An Approach to Scientific Array Processing: The Architectural Design of the AP-120B/FPS-164 Family, Computer, vol.14, issue.9, pp.18-27, 1981.
DOI : 10.1109/C-M.1981.220595

C. Clienti, S. Beucher, and M. Bilodeau, A system on chip dedicated to pipeline neighborhood processing for mathematical morphology, EUSIPCO-2008, 16th European Signal Processing Conference, 2008.
URL : https://hal.archives-ouvertes.fr/hal-00830910

C. Clienti, M. Bilodeau, and S. Beucher, An Efficient Hardware Architecture without Line Memories for Morphological Image Processing, ACIVS '08 : Proceedings of the 10th International Conference on Advanced Concepts for Intelligent Vision Systems, pp.147-156, 2008.
DOI : 10.1109/34.211471

URL : https://hal.archives-ouvertes.fr/hal-00834012

K. Compton and S. Hauck, Reconfigurable computing: a survey of systems and software, ACM Computing Surveys, vol.34, issue.2, pp.171-210, 2002.
DOI : 10.1145/508352.508353

J. Denoulet and A. Merigot, System on chip evolution of a SIMD architecture for image processing, 2003 IEEE International Workshop on Computer Architectures for Machine Perception, pp.9-298, 2003.
DOI : 10.1109/CAMP.2003.1598175

URL : https://hal.archives-ouvertes.fr/hal-00622052

B. Ducourthial and A. Merigot, Parallel asynchronous computations for image analysis, Proceedings of the IEEE, vol.90, issue.7, pp.1218-1229, 2002.
DOI : 10.1109/JPROC.2002.801454

R. Enficiaud, Algorithmes multidimensionnels et multispectraux en Morphologie Mathématique : approche par méta-programmation, Thèse de doctorat en morphologie mathématique, ENSMP, 2007.

M. Flynn, Very high-speed computing systems, Proceedings of the IEEE, vol.54, issue.12, pp.1901-1909, 1966.
DOI : 10.1109/PROC.1966.5273

A. Fraboulet and T. Risset, Master Interface for On-chip Hardware Accelerator Burst Communications, The Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology, vol.7, issue.2, pp.73-85, 2007.
DOI : 10.1007/s11265-006-0045-2

URL : https://hal.archives-ouvertes.fr/hal-00391222

J. Gil and M. Werman, Computing 2-D min, median, and max filters, IEEE Transactions on Pattern Analysis and Machine Intelligence, vol.15, issue.5, pp.504-507, 1993.
DOI : 10.1109/34.211471

A. S. Glassner, Graphics Gems, 1990.

A. S. Glassner, Graphics gems, 1990.

J. Golston, DM642 digital media processor, Image and Video Communications and Processing 2003, pp.700-706, 2003.
DOI : 10.1117/12.479728

C. Gratin, Le logiciel micromorph. Transparents ecole d'eté, p.4330, 1991.

E. W. Kent, M. O. Shneier, and R. Lumia, PIPE (Pipelined Image-Processing Engine), Journal of Parallel and Distributed Computing, vol.2, issue.1, pp.50-78, 1985.
DOI : 10.1016/0743-7315(85)90038-3

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.13.9474

B. Khailany, W. J. Dally, U. J. Kapasi, P. Mattson, J. Namkoong et al., Imagine: media processing with streams, IEEE Micro, vol.21, issue.2, pp.35-46, 2001.
DOI : 10.1109/40.918001

B. Khailany, T. Williams, J. Lin, E. Long, M. Rygh et al., A programmable 512 gops stream processor for signal, image, and video processing. Solid-State Circuits Conference, Digest of Technical Papers. IEEE International, pp.272-602, 2007.

J. Klein and R. Peyrard, PIMM1, an image processing ASIC based on mathematical morphology, Proceedings., Second Annual IEEE ASIC Seminar and Exhibit, pp.7-8, 1989.
DOI : 10.1109/ASIC.1989.123209

J. Klein, F. Lemonnier, M. Gauthier, and R. Peyrard, Hardware implementation of the watershed zone algorithm based on a hierarchical queue structure, Proceedings IEEE Workshop on Nonlinear Signal and Image Processing, pp.859-862, 1995.

C. Kozyrakis, A media-enhanced vector architecture for embedded memory systems, 1999.

C. Kozyrakis and D. Patterson, Vector vs. superscalar and VLIW architectures for embedded multimedia benchmarks, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings., pp.283-293, 2002.
DOI : 10.1109/MICRO.2002.1176257

S. Kyo, T. Koga, and S. Okazaki, IMAP-CE: a 51.2 GOPS video rate image processor with 128 VLIW processing elements, Proceedings 2001 International Conference on Image Processing (Cat. No.01CH37205), pp.294-297, 2001.
DOI : 10.1109/ICIP.2001.958109

M. Lam, Software pipelining, ACM SIGPLAN Notices, vol.39, issue.4, pp.318-328, 1988.
DOI : 10.1145/989393.989420

C. Lantuéjoul and S. Beucher, Geodesic distance and image analysis, 5th ICS, volume 37 of Mikroscopie, pp.138-142, 1979.

F. Lemonnier and J. Klein, Fast dilation by large 1d structuring elements, IEEE Workshop on Nonlinear Signal and Image Processing, pp.479-482, 1995.

R. Lerallut, F. Etiennedecencì, and . Meyer, Image filtering using morphological amoebas, Image and Vision Computing, vol.25, issue.4, pp.395-404, 2007.
DOI : 10.1016/j.imavis.2006.04.018

URL : https://hal.archives-ouvertes.fr/hal-01431825

E. Lindholm, J. Nickolls, S. Oberman, and J. Montrym, NVIDIA Tesla: A Unified Graphics and Computing Architecture, IEEE Micro, vol.28, issue.2, pp.39-55, 2008.
DOI : 10.1109/MM.2008.31

M. Robert, D. L. Lougheed, and . Mccubbrey, The cytocomputer : A practical pipelined image processor, ISCA '80 : Proceedings of the 7th annual symposium on Computer Architecture, pp.271-277, 1980.

D. May and R. Shepherd, Occam and the transputer3 workshop on Concurrent languages in distributed systems : hardware supported implementation, Proc. of the IFIP WG, pp.19-33, 1985.

F. Meyer, Un algorithme optimal de ligne de partage des eaux, Actes 8` eme Congrès AFCET Reconnaissance des Formes et Intelligence Artificielle, pp.847-857, 1991.

F. Meyer, From connected operators to levelings, Mathematical Morphology and its Applications to Image and Signal Processing Proc. ISMM'98, pp.191-198, 1998.

F. Meyer and J. Angulo, Micro-viscous morphological operators, Proceedings Instituto Nacional de Pesquisas Espaciais (INPE), pp.165-176, 2007.

E. Mollick, Establishing Moore's Law, IEEE Annals of the History of Computing, vol.28, issue.3, pp.62-75, 2006.
DOI : 10.1109/MAHC.2006.45

F. Moraes, N. Calazans, A. Mello, L. Möller, and L. Ost, HERMES: an infrastructure for low area overhead packet-switching networks on chip, Integration, the VLSI Journal, vol.38, issue.1, pp.69-93, 2004.
DOI : 10.1016/j.vlsi.2004.03.003

N. Moreano, E. Borin, G. Cid-de-souza, and . Araujo, Efficient datapath merging for partially reconfigurable architectures. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.24, issue.7, pp.969-980, 2005.

D. Noguet, Architecturesparalì eles pour la morphologie mathématique géodésique, Thèse de doctorat en microélectronique, 1998.

A. Paeth, A FAST ALGORITHM FOR GENERAL RASTER ROTATION, Proceedings on Graphics Interface '86/Vision Interface '86, pp.77-81, 1986.
DOI : 10.1016/B978-0-08-050753-8.50046-2

A. W. Paeth, MEDIAN FINDING ON A 3 ?? 3 GRID, pp.171-175, 1990.
DOI : 10.1016/B978-0-08-050753-8.50044-9

A. Rosenfeld and J. L. Pfaltz, Distance functions on digital pictures, Pattern Recognition, vol.1, issue.1, pp.33-61, 1968.
DOI : 10.1016/0031-3203(68)90013-7

R. M. Russell, The CRAY-1 computer system, Communications of the ACM, vol.21, issue.1, pp.63-72, 1978.
DOI : 10.1145/359327.359336

S. Kyo, S. Okazaki, and F. Hidano, Imapcar : A highly parallel integrated memory array processor for in-vehicle image recognition applications, Proc. ITS World Congress, p.1744, 2006.

A. Lorenz, S. S. Schmitt, and . Wilson, The ais-5000 parallel processor, IEEE Trans. Pattern Anal. Mach. Intell, vol.10, issue.3, pp.320-330, 1988.

R. Schöne, W. E. Nagel, and S. Pflüger, Analyzing cache bandwidth on the intel core 2 architecture, PARCO, volume 15 of Advances in Parallel Computing, pp.365-372, 2007.

L. Seiler, D. Carmean, E. Sprangle, T. Forsyth, M. Abrash et al., Larrabee, ACM Transactions on Graphics, vol.27, issue.3, pp.1-15, 2008.
DOI : 10.1145/1360612.1360617

J. Serra, Image analysis and mathematical morphology, 1982.

J. L. Smith, Implementing median filters in xc4000e fpgas, Xilinx Application Notes, vol.44, 1996.

P. Soille, Morphological Image Analysis : Principles and Applications, 2003.

V. Charles, C. R. Stewart, and . Dyer, Scheduling algorithms for pipe (pipelined image-processing engine), Journal of Parallel and Distributed Computing, vol.5, issue.2, pp.131-153, 1988.

P. Sunna, Avc/h.264, un système de codage vidéó evolué pour la hd et la sd, 2005.

K. Tatas, K. Siozios, and D. Soudris, A Survey of Existing Fine-Grain Reconfigurable Architectures and CAD tools, 2007.
DOI : 10.1007/978-1-4020-6505-7_1

J. Trodden, D. Anderson, and M. Inc, HyperTransport System Architecture, 2003.

H. Ueda, K. Kato, H. Matsushima, K. Kaneko, and M. Ejiri, A multiprocessor system utilizing enhanced DSPs for image processing, [1988] Proceedings. International Conference on Systolic Arrays, pp.611-620, 1988.
DOI : 10.1109/ARRAYS.1988.18098

R. Van-den-boomgaard and D. A. Wester, Logarithmic shape decomposition, Aspects of Visual Form Processing, pp.552-561, 1994.

. Rein-van-den, R. Boomgaard, and . Van-balen, Methods for fast morphological image transforms using bitmapped binary images, CVGIP: Graphical Models and Image Processing, vol.54, issue.3, pp.252-258, 1992.
DOI : 10.1016/1049-9652(92)90055-3

M. Van-herk, A fast algorithm for local minimum and maximum filters on rectangular and octagonal kernels, Pattern Recognition Letters, vol.13, issue.7, pp.517-521, 1992.
DOI : 10.1016/0167-8655(92)90069-C

L. Vincent, Morphological grayscale reconstruction in image analysis : applications and efficient algorithms, To appear in the ieee transactions on image processing, 1992.

E. Waingold, M. Taylor, V. Sarkar, V. Lee, W. Lee et al., Baring it all to software: Raw machines, Computer, vol.30, issue.9, 1997.
DOI : 10.1109/2.612254

S. Weiss and J. E. Smith, A study of scalar compilation techniques for pipelined supercomputers, ACM Transactions on Mathematical Software, vol.16, issue.3, pp.223-245, 1990.
DOI : 10.1145/79505.79508

D. Wentzlaff, P. Griffin, H. Hoffmann, L. Bao, B. Edwards et al., On-Chip Interconnection Architecture of the Tile Processor, IEEE Micro, vol.27, issue.5, pp.15-31, 2007.
DOI : 10.1109/MM.2007.4378780

S. Yehia, S. Girbal, H. Berry, and O. Temam, Reconciling specialization and flexibility through compound circuits, 2009 IEEE 15th International Symposium on High Performance Computer Architecture, pp.277-288, 2009.
DOI : 10.1109/HPCA.2009.4798263

URL : https://hal.archives-ouvertes.fr/inria-00633585

M. Zuluaga and N. Topham, Resource sharing in custom instruction set extensions. Application Specific Processors, SASP 2008. Symposium on, pp.7-13, 2008.