P. Evaluation and C. , 68 4.4.1 Best effort exploration, p.70

A. Performance-evaluation and C. , 107 6.4.1 NL-means image filter

B. Vlsm, where are the benchmarks ?, p.179

L. Benini and G. Micheli, Networks on chips: a new SoC paradigm, Computer, vol.35, issue.1, 2002.
DOI : 10.1109/2.976921

K. Pestana, Andrei Radulescu; Edwin Rijpkema, A Design Flow for Application-Specific Networks on Chip with Guaranteed Performance to Accelerate SOC Design and Verification, Proc. of Desing, Automation and Test in Europe Conference and Exhibition, 2005.

A. Jalabert, ??pipesCompiler: a tool for instantiating application specific networks on chip, Proceedings Design, Automation and Test in Europe Conference and Exhibition, 2004.
DOI : 10.1109/DATE.2004.1268999

S. Stergiou, xpipesLie: A Synthesis Oriented Design Library for Network on Chips, Proc. DATE, 2005.

S. Murali and G. Micheli, SUNMAP, Proceedings of the 41st annual conference on Design automation , DAC '04, pp.914-919, 2004.
DOI : 10.1145/996566.996809

S. Murali and G. Micheli, Bandwidth-constrained mapping of cores onto NoC architectures, Proceedings Design, Automation and Test in Europe Conference and Exhibition, 2004.
DOI : 10.1109/DATE.2004.1269002

U. Ogras and R. Marculescu, Application-specific network-on-chip architecture customization via longrange link insertion, Proc. Intl. Conf. on Computer Aided Design, 2005.

U. Ogras and R. Marculescu, Energy- and Performance-Driven NoC Communication Architecture Synthesis Using a Decomposition Approach, Design, Automation and Test in Europe, 2005.
DOI : 10.1109/DATE.2005.137

URL : https://hal.archives-ouvertes.fr/hal-00181540

J. Hu and R. Marculescu, Exploiting the routing Flexibility for Energy/Performance Aware mapping of Regular NoC Architectures Proc, DATE, 2003.

K. S. Srinivasan-;-k, . Chatha-;-g, and . Konjevod, Linear-programming-based techniques for synthesis of networkon-chip architectures, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.407-420, 2006.

K. S. Srinivasan-;-k, . Chatha-;-g, and . Konjevod, Application Specific Network-on-Chip Design with Guaranteed Quality Approximation Algorithms, 2007 Asia and South Pacific Design Automation Conference, 2007.
DOI : 10.1109/ASPDAC.2007.357983

K. S. Srinivasan-;-k and . Chatha, ISIS: a genetic algorithm based technique for custom on-chip interconnection network synthesis, 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design, 2005.
DOI : 10.1109/ICVD.2005.113

K. S. Srinivasan-;-k and . Chatha, A Methodology for Layout Aware Design and Optimization of Custom Networkon-Chip Architectures, Proc. ISQED, 2006.

H. S. Wang, X. Zhu, L. S. Peh, and S. Malik, Orion: A power-performance simulator for interconnection networks, Proceedings of the 35th International Symposium on Microarchitecture (MICRO), pp.294-305, 2002.

D. Bertozzi, A. Jalabert, S. Murali, R. Tamhankar, S. Stergiou et al., NoC synthesis flow for customized domain specific multiprocessor systems-on-chip, IEEE Transactions on Parallel and Distributed Systems, vol.16, issue.2, pp.113-129, 2005.
DOI : 10.1109/TPDS.2005.22

S. Murali, ;. F. Meloni, and . Angionlini, Designing Application-Specific Networks on Chips with Floorplan Information, Proc. ICCAD, 2006.

J. G. Kim and Y. D. Kim, A linear programming based algorithm for floorplanning in VLSI design, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst, vol.22, issue.5, pp.584-592, 2003.

M. Charikar and A. Karagiozova, On non-uniform multicommodity buy-at-bulk network design, Proceedings of the thirty-seventh annual ACM symposium on Theory of computing , STOC '05, pp.176-182, 2005.
DOI : 10.1145/1060590.1060617

A. Pino-;-luca-carloni and ;. A. Sangiovanni-vincentelli, Synthesis of Low Power NOC Topologies under Bandwidth Constraints, [22] A. Hansson; Kees Goossens; A. Radulescu, A Unified Approach to Constrained Mapping and Routing on Network on Chip Architectures, Proc. CODES+ISSS, 2005.

M. R. Garey and D. S. Johnson, Computers and Intractability: A Guide to the Theory of NP- Completeness, W. H. Freeman and Company, 1979.

S. Murali, L. Benini, and G. Micheli, Mapping and Physical Planning of Networks-on-Chip Architectures with Quality-of-Service Guarantees, ASP DAC, pp.27-32, 2005.

N. Sherwani, Algorithms for VLSI Physical Design Automation, 1995.

N. Banerjee, P. Vellanki, and K. S. Chatha, A power and performance model for network-on-chip architectures, Proceedings. Design, Automation and Test in Europe Conference and Exhibition, 2004.
DOI : 10.1109/DATE.2004.1269067

S. Shibahara, M. Takada, T. Kamei, K. Hayase, Y. Yoshida et al., SH-X3: SuperH Multi-Core for Embedded Systems, Hot Chips 19 th, 2007.

T. Miyamori, Venezia: a Scalable Multicore Subsystem for Multimedia Applications, 8th International Forum on Application-Specific Multi-Processor SoC 23 -27, 2008.

M. Millberg, R. T. Nilsson, and A. Jantsch, Guaranteed bandwidth using looped containers in temporally disjoint networks within the nostrum network on chip, Proceedings Design, Automation and Test in Europe Conference and Exhibition, pp.890-895, 2004.
DOI : 10.1109/DATE.2004.1269001

D. Bertozzi, NoC synthesis flow for customized domain specific multiprocessor systems-on-chip, IEEE Transactions on Parallel and Distributed Systems, vol.16, issue.2, pp.113-129, 2005.
DOI : 10.1109/TPDS.2005.22

M. Jersak, K. Richter, and R. Ernst, Performance analysis for complex embedded applications, International Journal of Embedded Systems, vol.1, issue.1/2, 2004.
DOI : 10.1504/IJES.2005.008807

S. Schliecker, J. Rox, M. Negrean, K. Richter, M. Jersak et al., System Level Performance Analysis for Real-Time Automotive Multi-Core and Network Architectures, Computer-Aided Design of Integrated Circuits and Systems, pp.979-992, 2009.

X. Li and O. Hammami, NOCDEX: Network on Chip Design Space Exploration Through Direct Execution and Options Selection Through Principal Component Analysis, 2006 International Symposium on Industrial Embedded Systems, pp.1-4, 2006.
DOI : 10.1109/IES.2006.357469

L. Benini and G. Micheli, Networks on chips: a new SoC paradigm, Computer, vol.35, issue.1, 2002.
DOI : 10.1109/2.976921

K. Pestana, Andrei Radulescu; Edwin Rijpkema, A Design Flow for Application-Specific Networks on Chip with Guaranteed Performance to Accelerate SOC Design and Verification, Proc. of Desing, Automation and Test in Europe Conference and Exhibition, 2005.

A. Jalabert, ??pipesCompiler: a tool for instantiating application specific networks on chip, Proceedings Design, Automation and Test in Europe Conference and Exhibition, 2004.
DOI : 10.1109/DATE.2004.1268999

S. Stergiou, xpipesLie: A Synthesis Oriented Design Library for Network on Chips, Proc. DATE, 2005.

S. Murali and G. Micheli, SUNMAP, Proceedings of the 41st annual conference on Design automation , DAC '04, pp.914-919, 2004.
DOI : 10.1145/996566.996809

S. Murali and G. Micheli, Bandwidth-constrained mapping of cores onto NoC architectures, Proceedings Design, Automation and Test in Europe Conference and Exhibition, 2004.
DOI : 10.1109/DATE.2004.1269002

U. Ogras and R. Marculescu, Application-specific network-on-chip architecture customization via longrange link insertion, Proc. Intl. Conf. on Computer Aided Design, 2005.

U. Ogras and R. Marculescu, Energy- and Performance-Driven NoC Communication Architecture Synthesis Using a Decomposition Approach, Design, Automation and Test in Europe, 2005.
DOI : 10.1109/DATE.2005.137

URL : https://hal.archives-ouvertes.fr/hal-00181540

J. Hu and R. Marculescu, Exploiting the routing Flexibility for Energy/Performance Aware mapping of Regular NoC Architectures Proc, DATE, 2003.

K. S. Srinivasan-;-k, . Chatha-;-g, and . Konjevod, Linear-programming-based techniques for synthesis of networkon-chip architectures, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.407-420, 2006.

K. S. Srinivasan-;-k, . Chatha-;-g, and . Konjevod, Application Specific Network-on-Chip Design with Guaranteed Quality Approximation Algorithms, 2007 Asia and South Pacific Design Automation Conference, 2007.
DOI : 10.1109/ASPDAC.2007.357983

K. S. Srinivasan-;-k and . Chatha, ISIS: a genetic algorithm based technique for custom on-chip interconnection network synthesis, 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design, 2005.
DOI : 10.1109/ICVD.2005.113

K. S. Srinivasan-;-k and . Chatha, A Methodology for Layout Aware Design and Optimization of Custom Networkon-Chip Architectures, Proc. ISQED, 2006.

H. S. Wang, X. Zhu, L. S. Peh, and S. Malik, Orion: A power-performance simulator for interconnection networks, Proceedings of the 35th International Symposium on Microarchitecture (MICRO), pp.294-305, 2002.

D. Bertozzi, A. Jalabert, S. Murali, R. Tamhankar, S. Stergiou et al., NoC synthesis flow for customized domain specific multiprocessor systems-on-chip, IEEE Transactions on Parallel and Distributed Systems, vol.16, issue.2, pp.113-129, 2005.
DOI : 10.1109/TPDS.2005.22

S. Murali, ;. F. Meloni, and . Angionlini, Designing Application-Specific Networks on Chips with Floorplan Information, Proc. ICCAD, 2006.

J. G. Kim and Y. D. Kim, A linear programming based algorithm for floorplanning in VLSI design, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst, vol.22, issue.5, pp.584-592, 2003.

M. Charikar and A. Karagiozova, On non-uniform multicommodity buy-at-bulk network design, Proceedings of the thirty-seventh annual ACM symposium on Theory of computing , STOC '05, pp.176-182, 2005.
DOI : 10.1145/1060590.1060617

A. Pino-;-luca-carloni and ;. A. Sangiovanni-vincentelli, Synthesis of Low Power NOC Topologies under Bandwidth Constraints, [64] A. Hansson; Kees Goossens; A. Radulescu, A Unified Approach to Constrained Mapping and Routing on Network on Chip Architectures, Proc. CODES+ISSS, 2005.

M. R. Garey and D. S. Johnson, Computers and Intractability: A Guide to the Theory of NP- Completeness, W. H. Freeman and Company, 1979.

S. Murali, L. Benini, and G. Micheli, Mapping and Physical Planning of Networks-on-Chip Architectures with Quality-of-Service Guarantees, ASP DAC, pp.27-32, 2005.

N. Sherwani, Algorithms for VLSI Physical Design Automation, 1995.

W. Wolf, A. A. Jerraya, and G. Martin, Multiprocessor System-on-Chip (MPSoC) Technology, IEEE trans. On CAD of integrated circuits and systems, 2008.

S. Gal-on and M. Levy, Measuring Multicore Performance, Computer, vol.41, issue.11, pp.99-102, 2008.
DOI : 10.1109/MC.2008.464

S. Han, Simulinks-based heterogeneous multiprocessor SoC design flow for mixed hardware/software refinement and simulation , INTEGRATION,the VLSI journal, pp.227-245, 2009.

H. Kai, Gradual refinement for application-specific MPSoC design from Simulink model to RTL implementation, Journal of Zhejiang University SCIENCE A, vol.10, issue.2, 2009.

C. Lee, S. Kim, and S. Ha, A Systematic Design Space Exploration of MPSoC Based on Synchronous Data Flow Specification, Journal of Signal Processing Systems, vol.12, issue.3, 2009.
DOI : 10.1007/s11265-009-0351-6

A. Kumar, Multiprocessor systems synthesis for multiple use-cases of multiple applications on FPGA, ACM Transactions on Design Automation of Electronic Systems, vol.13, issue.3, 2008.
DOI : 10.1145/1367045.1367049

S. Boukhechem and E. Bourennane, SystemC Transaction-LevelModeling of an MPSoC PlatformBased on an Open Source ISS by Using Interprocess Communication, International Journal of Reconfigurable Computing, vol.2008, 2008.

A. D. Pimentel, C. Erbas, and S. Polstra, A systematic approach to exploring embedded system architectures at multiple abstraction levels, IEEE Transactions on Computers, vol.55, issue.2, p.55, 2006.
DOI : 10.1109/TC.2006.16

A. Pimentel, Tool Integration and Interoperability Challenges of a System-Level Design Flow: A Case Study, Proc. "8th Int. Sumposium on Systems, Architectures, MOdeling, and Simulation (SAMOS'08), pp.167-176, 2008.
DOI : 10.1007/978-3-540-70550-5_19

H. Nikolov, T. Stefanov, and E. Deprettere, Automated Integration of Dedicated Hardwired IP Cores in Heterogeneous MPSoCs Designed with ESPAM, EURASIP Journal on Embedded Systems, vol.1132, issue.1, 2008.
DOI : 10.1109/TVLSI.2006.878259

A. D. Pimentel, C. Erbas, and S. Polstra, A systematic approach to exploring embedded system architectures at multiple abstraction levels, IEEE Transactions on Computers, vol.55, issue.2, pp.99-112, 2006.
DOI : 10.1109/TC.2006.16

C. Erbas, A. D. Pimentel, M. Thompson, and S. Polstra, A framework for system-level modeling and simulation of embedded systems architectures, EURASIP Journal on Embedded Systems, 2007.

M. Lukasiewycz, M. Glaß, C. Haubelt, and J. Teich, Efficient symbolic multi-objective design space exploration, 2008 Asia and South Pacific Design Automation Conference, pp.691-696, 2008.
DOI : 10.1109/ASPDAC.2008.4484040

C. Haubelt, M. Meredith, T. Schlichter, and J. Keinert, SystemCoDesigner, Proceedings of the 45th annual conference on Design automation, DAC '08, pp.580-585, 2008.
DOI : 10.1145/1391469.1391616

Y. Ahn, SoCDAL, ACM Transactions on Design Automation of Electronic Systems, vol.13, issue.1, 2008.
DOI : 10.1145/1297666.1297683

A. Hansson, K. Goossens, M. Bekooij, and J. Huisken, CoMPSoC, ACM Transactions on Design Automation of Electronic Systems, vol.14, issue.1, 2009.
DOI : 10.1145/1455229.1455231

A. Kumar and A. Hansson, Jos Huisken and Henk Corporaal. An FPGA Design Flow for Reconfigurable Network-Based Multi-Processor Systems on Chip, Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE), 2007.

L. Benini and G. De-micheli, Networks on chips: a new SoC paradigm, Computer, vol.35, issue.1, pp.70-78, 2002.
DOI : 10.1109/2.976921

T. Bjerregaard and S. Mahadevan, A survey of research and practices of Network-on-chip, ACM Computing Surveys, vol.38, issue.1, 2006.
DOI : 10.1145/1132952.1132953

J. D. Owens, W. J. Dally, R. Ho, D. N. Jayasimha, and S. W. Keckler, Research Challenges for On-Chip Interconnection Networks, IEEE Micro, vol.27, issue.5, pp.96-108, 2007.
DOI : 10.1109/MM.2007.4378787

X. Li and O. Hammami, NOCDEX: Network on Chip Design Space Exploration Through Direct Execution and Options Selection Through Principal Component Analysis, 2006 International Symposium on Industrial Embedded Systems, pp.1-4, 2006.
DOI : 10.1109/IES.2006.357469

P. Pratim-pande-;-grecu, C. Jones, M. Ivanov, A. Saleh, and R. , Performance evaluation and design tradeoffs for network-on-chip interconnect architectures, Computers, IEEE Transactions on, vol.54, issue.8, pp.1025-1040, 2005.

N. Hyung-gyu-lee, U. Y. Chang, and . Ogras, Radu Marculescu On-chip communication architecture exploration: A quantitative evaluation of point-to-point, bus, and network-on-chip approaches, ACM Transactions on Design Automation of Electronic Systems, vol.12, issue.3, 2007.

J. Hu and R. Marculescu, Energy-and performance-aware mapping for regular NoC architectures, Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.24, issue.4s, pp.551-562, 2005.

X. Zhu and S. Malik, A hierarchical modeling framework for on-chip communication architectures of multiprocessing SoCs, ACM Transactions on Design Automation of Electronic Systems, vol.12, issue.1, 2007.
DOI : 10.1145/1188275.1188281

K. Lahiri, A. Raghunathan, and S. Dey, Design space exploration for optimizing on-chip communication architectures, Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.23, issue.6s, pp.952-961, 2004.

D. Bertozzi, A. Jalabert, R. Srinivasan-murali-;-tamhankar, S. Stergiou, L. Benini et al., NoC synthesis flow for customized domain specific multiprocessor systems-on-chip, Parallel and Distributed Systems, IEEE Transactions on, vol.16, issue.2s, pp.113-129, 2005.

J. Xu and W. Wolf, Joerg Henkel, Srimat Chakradhar, A design methodology for application-specific networks-on-chip, ACM Transactions on Embedded Computing Systems (TECS), vol.5, issue.2, 2006.

S. Murali, L. Benini, and G. De-micheli, An Application-Specific Design Methodology for On-Chip Crossbar Generation, Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.26, issue.7s, pp.1283-1296, 2007.

C. Brandolese, W. Fornaciari, L. Pomante, F. Salice, and D. Sciuto, Affinity-driven system design exploration for heterogeneous multiprocessor SoC, Computers, IEEE Transactions on, vol.55, issue.5s, pp.508-519, 2006.

S. Murali, D. Atienza, P. Meloni, S. Carta, L. Benini et al., Synthesis of Predictable Networks-on-Chip-Based Interconnect Architectures for Chip Multiprocessors, Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.15, issue.8s, pp.869-880, 2007.

F. Angiolini, P. Meloni, S. M. Carta, L. Raffo, and L. Benini, A Layout-Aware Analysis of Networks-on- Chip and Traditional Interconnects for MPSoCs, Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.26, issue.3s, pp.421-434, 2007.

T. Kogel, R. Laupers, and H. Meyr, Integrated System-Level Modeling of Network-on-chip enabled Multi- Processor Platforms, 2006.

F. Ghenassia, Transaction-level Modeling with SystemC -TLM Concepts and Applications for Embedded Systems, 2005.

W. Muller, W. Rosenstiel, and J. Ruf, SystemC Methodologies nd pplictions, 2003.

S. A. Arteris, . B. Http-]-r, O. Mouhoub, and . Hammami, arteris.com [21] NoC Solution 1.9, NoC Compiler user's Guide, o918v5 Arteris [22] NoC Solution 1.9, NoCexplorer user's Guide, o3088v5 MOCDEX: Multiprocessor on Chip Multiobjective Design Space Exploration with Direct Execution, EURASIP Journal on Embedded Systems, 2006.

A. A. Jerraya and W. Wolf, Multiprocessor Systems-on-Chips, 2004.
URL : https://hal.archives-ouvertes.fr/hal-00012749

T. Bjerregaard and S. Mahadevan, A survey of research and practices of Network-on-chip, ACM Computing Surveys, vol.38, issue.1, 2006.
DOI : 10.1145/1132952.1132953

J. D. Owens, W. J. Dally, R. Ho, D. N. Jayasimha, and S. W. Keckler, Li-Shiuan Peh; Research Challenges for On-Chip Interconnection Networks, pp.96-108, 2007.

A. Lodi, A. Cappelli, M. Bocchi, C. Mucci, M. Innocenti et al., XiSystem: A XiRisc-Based SoC With Reconfigurable IO Module, IEEE Journal of Solid-State Circuits, vol.41, issue.1, pp.85-96, 2006.
DOI : 10.1109/JSSC.2005.859319

S. Murali, M. Coenen, A. Radulescu, K. Goossens, and G. Micheli, A Methedology for Mapping Multiple Use-Cases onto Networks on Chips, Desing, Automationand Test in Europe, vol.1, pp.1-6, 2006.

A. Kumar, A. Hansson, J. Huisken, and H. Corporaal, An FPGA Design Flow for Reconfigurable Network-Based Multi-Processor Systems on Chip, 2007 Design, Automation & Test in Europe Conference & Exhibition, pp.16-20, 2007.
DOI : 10.1109/DATE.2007.364577

V. Aken-'ova and R. Saleh, A 'Soft++' eFPGA Physical Design Approach with Case Studies in 180nm and 90nm, Proceeding of the 2006 Emerging VLSI Technologies and Architectures, pp.103-108, 2006.

V. Nollet, T. Marescaux, D. Verkest, and J. Mignolet, Centralized Run-Time Resource Management in a Network-on-Chip Containing Reconfigurable Hardware Tiles, Design, Automation and Test in Europe, pp.234-239, 2005.
DOI : 10.1109/DATE.2005.91

URL : https://hal.archives-ouvertes.fr/hal-00181521

V. Aken-'ova, G. Lemieux, and R. Saleh, An Improved 'Soft' eFPGA Design and Implementation Strategy, IEEE Custom Integrated Circuits Conference, 2005 proceeding, pp.179-182, 2005.

X. Li and O. Hammami, NOCDEX: Network on Chip Design Space Exploration Through Direct Execution and Options Selection Through Principal Component Analysis, 2006 International Symposium on Industrial Embedded Systems, pp.1-4, 2006.
DOI : 10.1109/IES.2006.357469

S. Ahmed and . Zahid, Exploration of power reduction and performance enhancement in LEON3 processor with ESL reprogrammable eFPGA in processor pipeline and as a co-processor, Design, Automation & Test in Europe Conference & Exhibition, pp.184-189, 2009.

M. Borgatti, F. Lertora, B. Foret, and L. Cali, A reconfigurable system featuring dynamically extensible embedded microprocessor, FPGA, and customizable I/O, Solid-State Circuits, IEEE Journal, vol.38, issue.3s, pp.521-529, 2003.

A. Lodi, XiSystem: A XiRisc-Based SoC With Reconfigurable IO Module, IEEE Journal of Solid-State Circuits, vol.41, issue.1, 2006.
DOI : 10.1109/JSSC.2005.859319

R. Lysecky, Scalability and Parallel Execution of Warp Processing: Dynamic Hardware/Software Partitioning, International Journal of Parallel Programming, vol.25, issue.3, 2008.
DOI : 10.1007/s10766-008-0079-0

B. Neumann, T. Sydow, H. Blume, and T. G. Noll, Application Domain Specific Embedded FPGAs for Flexible ISA-Extension of ASIPs, Journal of Signal Processing Systems, vol.3, issue.2, pp.1-2, 2008.
DOI : 10.1007/s11265-008-0211-9

A. Deledda, Design of a HW/SW Communication Infrastructure for a Heterogeneous Reconfigurable Processor, Europe DATE, issue.s, pp.1352-1357, 2008.

J. Delorme, J. Martin, A. Nafkha, C. Moy, F. Clermidy et al., A FPGA partial reconfiguration design approach for cognitive radio based on NoC architecture, Circuits and Systems and TAISA Conference, 2008.

Y. E. Krasteva, F. Criado, E. De-la-torre, and T. Riesgo, A Fast Emulation-based NoC Prototyping Framework, Reconfigurable Computing and FPGAs, pp.211-216, 2008.

C. Bobda, A. Ahmadinia, M. Majer, J. Teich, S. P. Fekete et al., Dynoc: a dynamic infrastructure for communication in dynamically reconfigurable devices, International Conference on Field Programmable Logic and Applications, 2005., pp.153-158, 2005.
DOI : 10.1109/FPL.2005.1515715

T. Pionteck, R. Koch, and C. Albrecht, Applying Partial Reconfiguration to Networks-On-Chips, 2006 International Conference on Field Programmable Logic and Applications, pp.1-6, 2006.
DOI : 10.1109/FPL.2006.311208

R. Benmouhoub and O. Hammami, Noc monitoring harwdare support for fast noc design space exploration and potential noc partial dynamic reconfiguration, IEEE IES, 2006.

M. Sevaux, Key Research Issues for Reconfigurable Network-on-Chip, Reconfigurable Computing and FPGAs, pp.181-186, 2008.

A. A. Jerraya and W. Wolf, Multiprocessor Systems-on-Chips, 2004.
URL : https://hal.archives-ouvertes.fr/hal-00012749

L. Benini and G. De-micheli, Networks on chips: a new SoC paradigm, Computer, vol.35, issue.1, pp.70-78, 2002.
DOI : 10.1109/2.976921

T. Bjerregaard and S. Mahadevan, A survey of research and practices of Network-on-chip, ACM Computing Surveys, vol.38, issue.1, 2006.
DOI : 10.1145/1132952.1132953

J. D. Owens, W. J. Dally, R. Ho, D. N. Jayasimha, S. W. Keckler et al., Research Challenges for On-Chip Interconnection Networks, IEEE Micro, vol.27, issue.5, pp.96-108, 2007.
DOI : 10.1109/MM.2007.4378787

S. Bell, B. Edwards, J. Amann, R. Conlin, K. Joyce et al., Digest of Technical Papers, TILE64 Processor: A 64-Core SoC with Mesh Interconnect , Tilera Corp. Solid-State Circuits Conference, 2008.

P. Gratz, On-Chip Interconnection Networks of the TRIPS Chip, IEEE Micro, vol.27, issue.5, pp.41-50, 2007.
DOI : 10.1109/MM.2007.4378782

R. B. Mouhoub and O. Hammami, Multiprocessor on chip: beating the simulation wall through multiobjective design space exploration with direct execution, Proceedings 20th IEEE International Parallel & Distributed Processing Symposium, p.8, 2006.
DOI : 10.1109/IPDPS.2006.1639623

S. Hauck and A. Dehon, Reconfigurable Computing The Theory and Practice of FPGA-Based Computation, 2007.

X. Li and O. Hammami, NOCDEX: Network on Chip Design Space Exploration Through Direct Execution and Options Selection Through Principal Component Analysis, 2006 International Symposium on Industrial Embedded Systems, pp.1-4, 2006.
DOI : 10.1109/IES.2006.357469

R. , B. Mouhoub, and O. Hammami, ProDesign www MOCDEX: Multiprocessor on Chip Multiobjective Design Space Exploration with Direct Execution, CHIPit Platinum Edition ? ASIC Emulation and Rapid Prototyping System ? v.2.0, 2004.

O. Hammami, Heterogeneous multiprocessor on chip compiler, architecture, place and route design space exploration, MELECON 2008, The 14th IEEE Mediterranean Electrotechnical Conference, 2008.
DOI : 10.1109/MELCON.2008.4618468

N. Genko, D. Atienza, G. De-micheli, J. M. Mendias, R. Hermida et al., A Complete Network-On-Chip Emulation Framework, Design, Automation and Test in Europe, p.5, 2005.
DOI : 10.1109/DATE.2005.5

URL : https://hal.archives-ouvertes.fr/hal-00181642

M. D. Nava, P. Blouet, P. Teninge, M. Coppola, T. Ben-ismail et al., An open platform for developing multiprocessor SoCs, Computer, vol.38, issue.7, pp.60-67, 2005.
DOI : 10.1109/MC.2005.218

E. S. Chung, E. Nurvitadhi, J. C. Hoe, B. Falsafi, and K. , Mai Virtualized Full-System Emulation of Multiprocessors using FPGAs, 2007.

K. Asonvic, RAMP: Research Accelerator for Multiprocessors, 2nd Workshop on Architectural Research Prototyping, p.2006

S. A. Arteris and . Http, arteris.com [20] NoC Solution 1.10, NoC Compiler user's Guide, o918v2rs4, 2008.

. Xilinx, Embedded system tools guide Available on

. Xilinx, Xilinx fast simplex link IP Available on

. Xilinx, Xilinx microblaze soft core processor Available on

A. Buades, B. Coll, and J. M. , A Review of Image Denoising Algorithms, with a New One, Multiscale Modeling & Simulation, vol.4, issue.2, pp.490-530, 2005.
DOI : 10.1137/040616024

URL : https://hal.archives-ouvertes.fr/hal-00271141

C. Kervrann, J. Boulanger, and P. Coupé, Bayesian Non-local Means Filter, Image Redundancy and Adaptive Dictionaries for Noise Removal, Proceedings of the 1st International Conference on Scale Space and Variational Methods in Computer Vision (SSVM'07), pp.520-532, 2007.
DOI : 10.1007/978-3-540-72823-8_45

URL : https://hal.archives-ouvertes.fr/hal-00645444

D. Culler, J. P. Singh, and A. Gupta, Parallel Computer Architecture : A Hardware/Software Approach, 1999.

A. A. Jerraya and W. Wolf, Multiprocessor Systems-on-Chip, 2004.
URL : https://hal.archives-ouvertes.fr/hal-01391627

L. Benini and G. De-micheli, Networks on Chips: Technology and Tools, 2006.

T. Miyamori, Venezia: a Scalable Multicore Subsystem for Multimedia Applications, 8th International Forum on Application-Specific Multi-Processor SoC 23 -27, 2008.

T. Isshiki and . Maps-tct, MPSoC Application Parallelization and Architecture Exploration Framework, 8th International Forum on Application-Specific Multi-Processor SoC 23 -27, 2008.

L. Gao, K. Karuri, S. Kraemer, R. Leupers, G. Ascheid et al., Multiprocessor performance estimation using hybrid simulation, Proceedings of the 45th annual conference on Design automation, DAC '08, pp.325-330, 2008.
DOI : 10.1145/1391469.1391552

R. , B. Mouhoub, O. Hammami, M. M. Cheema, and O. Hammami, Multiprocessor on Chip Multiobjective Design Space Exploration with Direct Execution Application-specific SIMD synthesis for reconfigurable architectures, Microprocessors and Microsystems, pp.398-412, 2006.

M. O. Cheema, L. Lacassagne, O. Hammami, O. Hammami, Z. Wang et al., System-Platforms-Based SystemC TLM Design of Image Processing Chains for Embedded Applications Quantitative Evaluation of C-Based High- Level Synthesis Systems Article ID 685128, 13 pages [13] Z.Wang and O.Hammami, C-Based Hardware-Accelerator Coprocessing for SOC An Quantitative Area- Performance Evaluation, Article ID 71043, 14 pages [12] Proc of the 15 th IEEE International Conference on Electronics, Circuits, and Systems st Aug. -Sept3 rd, p.31, 2007.

Y. Ahn, K. Han, G. Lee, H. Song, J. Yoo et al., SoCDAL, ACM Transactions on Design Automation of Electronic Systems, vol.13, issue.1, pp.1-1738, 2008.
DOI : 10.1145/1297666.1297683

C. Haubelt, T. Schlichetr, and M. Meredith, SystemCoDesigner, Proceedings of the 45th annual conference on Design automation, DAC '08, pp.580-585, 2008.
DOI : 10.1145/1391469.1391616

G. Chen, F. Lui, S. W. Son, and M. Kandemir, Application mapping for chip multiprocessors, Proceedings of the 45th annual conference on Design automation, DAC '08, pp.620-625, 2008.
DOI : 10.1145/1391469.1391628

J. Daemen and V. Rijmen, The Design of Rijndael: AES -The Advanced Encryption Standard, 2002.
DOI : 10.1007/978-3-662-04722-4

P. Crowley, The future in your pocket, ACM SIGCOMM Computer Communication Review, vol.38, issue.2, 2008.
DOI : 10.1145/1355734.1355744

M. R. Rizk and M. Morsy, Optimized Area and Optimized Speed Hardware Implementations of AES on FPGA " International Design and Test Workshop, pp.207-217, 2007.

P. Kitsos, N. Sklavos, M. D. Galanis, and O. Koufopavlou, VLSI implementations of the triple-DES block cipher, 10th IEEE International Conference on Electronics, Circuits and Systems, 2003. ICECS 2003. Proceedings of the 2003, 2003.
DOI : 10.1109/ICECS.2003.1301980

Y. Yue, C. Lin, and Z. Tan, NPCryptBench, 05: Proceedings of the 2005 workshop on MEmory performance: DEaling with Applications, 2006.
DOI : 10.1145/1147349.1147359

D. Arora, A. Raghunathan, S. Ravi, M. Sankaradass, N. K. Jha et al., Software architecture exploration for high-performance security processing on a multiprocessor mobile SoC, Proceedings of the 43rd annual conference on Design automation , DAC '06, 2006.
DOI : 10.1145/1146909.1147040

J. Lee, S. Yoon, K. Pyun, and S. Park, A multi-processor NoC platform applied on the 802.11i TKIP cryptosystem, ASP-DAC '08: Proceedings of the 2008 conference on Asia and South Pacific design automation, 2008.

A. Klimm, L. Braun, and J. Becker, An adaptive and scalable multiprocessor system For Xilinx FPGAs using minimal sized processor cores, 2008 IEEE International Symposium on Parallel and Distributed Processing, 2008.
DOI : 10.1109/IPDPS.2008.4536519

A. Kumar, S. Fernando, Y. Ha, B. Mesman, and H. Corporall, Multiprocessor systems synthesis for multiple use-cases of multiple applications on FPGA, ACM Transactions on Design Automation of Electronic Systems, vol.13, issue.3, pp.1-4027, 2008.
DOI : 10.1145/1367045.1367049

S. H. Bokhari, Partitioning problems in parallel, pipeline, and distributed computing, Computers, IEEE Transactions, vol.37, issue.1, pp.48-57, 1988.

C. King, W. Chou, and L. M. Ni, Pipelined data parallel algorithms-I: concept and modeling, Parallel and Distributed Systems, IEEE Transactions on, vol.1, issue.4, pp.470-485, 1990.

C. King, W. Chou, and L. M. Ni, Pipelined data parallel algorithms-II: design, Parallel and Distributed Systems, IEEE Transactions on, vol.1, issue.4s, pp.486-499, 1990.

P. Hansen and K. Lih, Improved algorithms for partitioning problems in parallel, pipelined, and distributed computing, IEEE Transactions on Computers, vol.41, issue.6, pp.769-771, 1992.
DOI : 10.1109/12.144628

M. A. Iqbal and S. H. Bokhari, Efficient algorithms for a class of partitioning problems, IEEE Transactions on Parallel and Distributed Systems, vol.6, issue.2, pp.170-175, 1995.
DOI : 10.1109/71.342129

Y. Kwok and I. , Ahmad Static scheduling algorithms for allocating directed task graphs to multiprocessors December, Computing Surveys (CSUR), vol.31, issue.4, 1999.

M. A. Senar, A. Ripoll, A. Cortés, and E. Luque, Clustering and reassignment-based mapping strategy for message-passing architectures, Journal of Systems Architecture, vol.48, pp.8-10, 2003.

C. Erbas, S. Cerav-erbas, and A. D. Pimentel, Multiobjective optimization and evolutionary algorithms for the application mapping problem in multiprocessor system-on-chip design, Evolutionary Computation, IEEE Transactions on, vol.10, issue.3, pp.358-374, 2006.

V. Kianzad and S. S. Bhattacharyya, Efficient techniques for clustering and scheduling onto embedded multiprocessors, Parallel and Distributed Systems, IEEE Transactions on, vol.17, issue.7, pp.667-680, 2006.

P. Chandraiah and R. Domer, Code and Data Structure Partitioning for Parallel and Flexible MPSoC Specification Using Designer-Controlled Recoding, Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.27, issue.6, pp.1078-1090, 2008.

B. Ristau, T. Limberg, and G. Fettweis, A Mapping Framework Based on Packing for Design Space Exploration of Heterogeneous MPSoCs, Journal of Signal Processing Systems, vol.6, issue.1, pp.45-56, 2009.
DOI : 10.1007/s11265-008-0171-0

G. Chen, F. Lui, S. W. Son, and M. Kandemir, Application mapping for chip multiprocessors, Proceedings of the 45th annual conference on Design automation, DAC '08, pp.620-625, 2008.
DOI : 10.1145/1391469.1391628

M. Monchiero, G. Palermo, C. Silvano, and O. Villa, Exploration of distributed shared memory architectures for NoC-based multiprocessors, Journal of Systems Architecture, vol.53, issue.10, pp.719-732, 2007.
DOI : 10.1016/j.sysarc.2007.01.008

R. , B. Mouhoub, and O. Hammami, MOCDEX: Multiprocessor on Chip Multiobjective Design Space Exploration with Direct Execution, EURASIP Journal on Embedded Systems, 2006.
URL : https://hal.archives-ouvertes.fr/hal-00847913

R. B. Mouhoub and O. Hammami, Multiprocessor on chip: beating the simulation wall through multiobjective design space exploration with direct execution, Proceedings 20th IEEE International Parallel & Distributed Processing Symposium, pp.25-29, 2006.
DOI : 10.1109/IPDPS.2006.1639623

X. Li and O. Hammami, NOCDEX: Network on Chip Design Space Exploration Through Direct Execution and Options Selection Through Principal Component Analysis, 2006 International Symposium on Industrial Embedded Systems, pp.1-4, 2006.
DOI : 10.1109/IES.2006.357469

S. Whitty, H. Sahlbach, W. Putzke-röming, and R. Ernst, Mapping of a film grain removal algorithm to a heterogeneous reconfigurable architecture, 2009 Design, Automation & Test in Europe Conference & Exhibition, 2009.
DOI : 10.1109/DATE.2009.5090628

K. Popovici, X. Guerin, F. Rousseau, P. S. Paolucci, and A. A. Jerraya, Platform-based software design flow for heterogeneous MPSoC, ACM Transactions on Embedded Computing Systems, vol.7, issue.4, 2008.
DOI : 10.1145/1376804.1376807

URL : https://hal.archives-ouvertes.fr/hal-00323018

J. Wawrzynek, D. Patterson, M. Oskin, C. Shin-lien-lu-;-kozyrakis, J. C. Hoe et al., RAMP: Research Accelerator for Multiple Processors, IEEE Micro, vol.27, issue.2, pp.46-57, 2007.
DOI : 10.1109/MM.2007.39

N. Njoroge, J. Casper, S. Wee, Y. Teslyar, D. Ge et al., ATLAS: A Chip-Multiprocessor with Transactional Memory Support, 2007 Design, Automation & Test in Europe Conference & Exhibition, 2007.
DOI : 10.1109/DATE.2007.364558

A. Schultz and R. Blue, Design and Implementation of a Message Passing Multi-processor System on the BEE2, master thesis, 2007.

K. Asanovic, D. Patterson, Z. Tan, A. Waterman, R. Avizienis et al., RAMP Gold: An FPGA-based Architecture Simulator for Multiprocessors, The 4th Workshop on Architectural Research Prototyping, 2009.

C. Chang, J. Wawrzynek, and R. W. Brodersen, BEE2 A High-End Reconfigurable Computing System, IEEE Design and Test of Computers, vol.22, issue.2, pp.114-125, 2005.
DOI : 10.1109/MDT.2005.30

X. Li and O. Hammami, BB-762: Design and Implementation of 762 Processor Multiprocessor and OCP-IP Benchmarking, 2009.

O. Hammami, X. Li, L. Larzul, and L. Burgun, Automatic Design Methodologies for Large Scale MPSOC and Prototyping on Multi-FPGA Platforms, International SoC Design Conference (ISOCC) 2009, invited talk, 2009.

X. Li and O. Hammami, Multi-FPGA emulation of a 48-cores multiprocessor with NOC, Design and Test Workshop, 2008.

X. Li and O. Hammami, An Automatic Design Flow for Data Parallel and Pipelined Signal Processing Applications on Embedded Multiprocessor with NoC: Application to Cryptography, International Journal of Reconfigurable Computing, vol.2009, 2009.
DOI : 10.1155/2008/685128

M. Riad-ben, Omar Hammami; NOC Monitoring Feedback for Parallel Programmers Circuits and Systems, IEEE, 2006.

M. Riad-ben, Omar Hammami;NOC Monitoring Hardware Support for fast NOC Design Space Exploration and Potential NOC Partial Dynamic Reconfiguration, 2006.

R. B. Mouhoub, O. R. Hammami, O. Benmouhoub, and . Hammami, Multiprocessor on chip: beating the simulation wall through multiobjective design space exploration with direct execution , Parallel and Distributed Processing SymposiumMOCDEX: Multiprocessor on Chip Multiobjective Design Space Exploration with Direct Execution, IPDPS EURASIP Journal of Embedded Systems, vol.1, issue.0, 2006.

M. Benchmark and S. , The PARSEC Benchmark Suite: Characterization and Architectural Implications, Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques, 2008.

C. Bienia and K. Li, PARSEC 2.0: A New Benchmark Suite for Chip-Multiprocessors, Proceedings of the 5th Annual Workshop on Modeling, Benchmarking and Simulation, 2009.

S. Rusu, S. Tam, H. Muljono, J. Stinson, D. Ayers et al., A 45 nm 8-Core Enterprise Xeon¯ Processor, Solid-State Circuits, IEEE Journal, pp.45-46, 2010.

J. Rattner, The dawn of terascale computing, Solid-State Circuits Magazine, IEEE, issue.1s, pp.83-89, 2009.