A. Asenov, A. Cathignol, B. Cheng, K. P. Mckenna, A. R. Brown et al., Origin of the Asymmetry in the Magnitude of the Statistical Variability of n- and p-Channel Poly-Si Gate Bulk MOSFETs, IEEE Electron Device Letters, vol.29, issue.8, pp.913-915, 2008.
DOI : 10.1109/LED.2008.2000843

A. Asenov, A. R. Brown, J. H. Davies, S. Kaya, and G. Slavcheva, Simulation of intrinsic parameter fluctuations in decananometer and nanometer-scale MOSFETs, IEEE Transactions on Electron Devices, vol.50, issue.9, pp.1837-1852, 2003.
DOI : 10.1109/TED.2003.815862

A. Asenov, G. Slavcheva, A. R. Brown, J. H. Davies, and S. Saini, Increase in the random dopant induced threshold fluctuations and lowering in sub-100 nm MOSFETs due to quantum effects: a 3-D density-gradient simulation study, IEEE Transactions on Electron Devices, vol.48, issue.4, pp.722-729, 2001.
DOI : 10.1109/16.915703

A. Asenov, S. Kaya, and J. H. Davies, Intrinsic threshold voltage fluctuations in decanano MOSFETs due to local oxide thickness variations, IEEE Transactions on Electron Devices, vol.49, issue.1, pp.112-119, 2002.
DOI : 10.1109/16.974757

A. Asenov, S. Kaya, J. H. Davies, and S. Saini, Oxide thickness variation induced threshold voltage fluctuations in decanano MOSFETs: a 3D density gradient simulation study, Superlattices and Microstructures, vol.28, issue.5-6, pp.507-515, 2000.
DOI : 10.1006/spmi.2000.0955

A. Dasdan, S. Kolay, and M. Yazgan, Derating for static timing analysis: Theory and practice, 2009 10th International Symposium on Quality of Electronic Design, pp.719-727, 2009.
DOI : 10.1109/ISQED.2009.4810382

A. Narasimhan and R. Sridhar, Impact of Variability on Clock Skew in H-tree Clock Networks, 8th International Symposium on Quality Electronic Design (ISQED'07), pp.458-466, 2007.
DOI : 10.1109/ISQED.2007.88

A. Ripp, M. Buhler, J. Koehl, J. Bickford, J. Hibbeler et al., DFM/DFY Design for Manufacturability and Yield influence of process variations in digital, analog and mixed-signal circuit design, DATE'06, vol.1, pp.1-6, 2006.

A. R. Brown, G. Roy, and A. Asenov, Poly-Si-Gate-Related Variability in Decananometer MOSFETs With Conventional Architecture, IEEE Transactions on Electron Devices, vol.54, issue.11, pp.3056-3063, 2007.
DOI : 10.1109/TED.2007.907802

B. Cheng, S. Roy, G. Roy, F. Adamu-lema, and A. Asenov, Impact of intrinsic parameter fluctuations in decanano MOSFETs on yield and functionality of SRAM cells, Solid-State Electronics, vol.49, issue.5, pp.740-746, 2005.
DOI : 10.1016/j.sse.2004.09.005

B. Nikolic, Design in the Power-Limited Scaling Regime, IEEE Transactions on Electron Devices, vol.55, issue.1, pp.71-83, 2008.
DOI : 10.1109/TED.2007.911350

B. Stefano, D. Bertozzi, L. Benini, and E. Macii, Process variation tolerant pipeline design through a placement-aware multiple voltage island design style, Proceedings of the conference on Design, automation and test in Europe, DATE '08, pp.967-972, 2008.
DOI : 10.1145/1403375.1403610

B. H. Calhoun, Y. Cao, X. Li, K. Mai, L. T. Pileggi et al., Digital Circuit Design Challenges and Opportunities in the Era of, Proc. of IEEE, pp.343-365, 2008.

B. J. Cheng, S. Roy, G. Roy, and A. Asenov, Integrating 'atomistic', intrinsic parameter fluctuations into compact model circuit analysis, Electrical Performance of Electrical Packaging (IEEE Cat. No. 03TH8710), pp.437-440, 2003.
DOI : 10.1109/ESSDERC.2003.1256907

B. Zhai, D. Blaauw, D. Sylvester, and K. Flautner, Theoretical and practical limits of dynamic voltage scaling, Proceedings of the 41st annual conference on Design automation , DAC '04, pp.868-873, 2004.
DOI : 10.1145/996566.996798

C. Chiang and J. Kawa, Design for Manufacturability and Yield for Nano-Scale CMOS, 2007.

C. Cho, D. D. Kim, J. Kim, J. Plouchart, D. Lim et al., Decomposition and Analysis of Process Variability Using Constrained Principal Component Analysis, IEEE Transactions on Semiconductor Manufacturing, vol.21, issue.1, pp.55-62, 2008.
DOI : 10.1109/TSM.2007.913192

C. Visweswariah, Death, taxes and failing chips, Proceedings of the 40th conference on Design automation , DAC '03, pp.343-347, 2003.
DOI : 10.1145/775832.775921

C. Visweswariah, Fear, uncertainty and statistics, Proceedings of the 2007 international symposium on Physical design , ISPD '07, p.169, 2007.
DOI : 10.1145/1231996.1232032

C. Visweswariah, K. Ravindran, K. Kalafala, S. G. Walker, S. Narayan et al., First-Order Incremental Block-Based Statistical Timing Analysis, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.25, issue.10, pp.2170-2180, 2006.
DOI : 10.1109/TCAD.2005.862751

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.104.6246

C. J. Akl and M. A. Bayoumi, Reducing Delay Uncertainty of On-Chip Interconnects by Combining Inverting and Non-Inverting Repeaters Insertion, 8th International Symposium on Quality Electronic Design (ISQED'07), pp.219-224, 2007.
DOI : 10.1109/ISQED.2007.134

D. Boning and S. Nassif, Models of Process Variations in Device and Interconnect Design of High-Performance Microprocessor Circuits, 2000.

D. Ernst, N. S. Kim, S. Das, S. Pant, R. Rao et al., Razor: a low-power pipeline based on circuit-level timing speculation, 22nd Digital Avionics Systems Conference. Proceedings (Cat. No.03CH37449), pp.36-43, 2003.
DOI : 10.1109/MICRO.2003.1253179

D. Iparraguirre-cardenas, J. L. Garcia-gervacio, and V. Champac, A design methodology for logic paths tolerant to local intra-die variations, 2008 IEEE International Symposium on Circuits and Systems, p.8
DOI : 10.1109/ISCAS.2008.4541488

D. Reid, C. Millar, G. Roy, S. Roy, and A. Asenov, Statistical enhancement of combined simulations of RDD and LER variability: What can simulation of a 10<sup>5</sup> sample teach us?, 2009 IEEE International Electron Devices Meeting (IEDM), 2009.
DOI : 10.1109/IEDM.2009.5424241

D. Sinha, J. Luo, S. Rajagopalan, S. Batterywala, and N. V. Shenoy, Impact of Modern Process Technologies on the Electrical Parameters of Interconnects, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07), pp.875-880, 2007.
DOI : 10.1109/VLSID.2007.90

D. Sylvester, Analysis and mitigation of variability in subthreshold design, Proc. of ISLPED'05, pp.20-25, 2005.

D. Sylvester, Design for Manufacturability: Challenges and Opportunities, 2005 6th International Conference on ASIC, pp.1169-1171, 2005.
DOI : 10.1109/ICASIC.2005.1611241

D. Sylvester, D. Blaauw, and E. Karl, ElastIC: An Adaptive Self-Healing Architecture for Unpredictable Silicon, IEEE Design and Test of Computers, vol.23, issue.6, pp.484-490, 2006.
DOI : 10.1109/MDT.2006.145

D. J. Frank, R. H. Dennard, E. Nowak, P. M. Solomon, Y. Taur et al., Device scaling limits of Si MOSFETs and their application dependencies, Proc. of the IEEE, pp.259-288, 2001.
DOI : 10.1109/5.915374

E. Chang, Using a statistical metrology framework to identify systematic and random sources of die-and wafer-level ILD thickness variation in CMP process, IEDM, pp.499-502, 1995.

E. Demircan, Effects of Interconnect Process Variations on Signal Integrity, 2006 IEEE International SOC Conference, pp.281-284, 2006.
DOI : 10.1109/SOCC.2006.283898

E. G. Friedman, Clock distribution networks in synchronous digital integrated circuits, Proc. of IEEE, pp.665-692, 2001.
DOI : 10.1109/5.929649

F. Fallah and M. Pedram, Standby and active leakage current control and minimization in CMOS VLSI circuits Special Section on Low-Power LSI and Low-Power IP, IEICE Trans. on Elec, vol.4, pp.509-519, 2005.

F. Fallah and M. Pedram, Standby and Active Leakage Current Control and Minimization in CMOS VLSI Circuits, IEICE Transactions on Electronics, vol.88, issue.4, pp.509-519, 2005.
DOI : 10.1093/ietele/e88-c.4.509

G. Gildenblat, X. Li, W. Wu, H. Wang, A. Jha et al., PSP: An Advanced Surface-Potential-Based MOSFET Model for Circuit Simulation, IEEE Transactions on Electron Devices, vol.53, issue.9, pp.1979-1993, 2006.
DOI : 10.1109/TED.2005.881006

G. Roy, A. R. Brown, F. Adamu-lema, S. Roy, and A. Asenov, Simulation Study of Individual and Combined Sources of Intrinsic Parameter Fluctuations in Conventional Nano-MOSFETs, IEEE Transactions on Electron Devices, vol.53, issue.12, pp.3063-3070, 2006.
DOI : 10.1109/TED.2006.885683

G. Sery, S. Borkar, and V. De, Life is CMOS, Proceedings of the 39th conference on Design automation , DAC '02, pp.78-83, 2002.
DOI : 10.1145/513918.513941

H. Fukutome, Y. Momiyama, T. Kubo, E. Yoshida, H. Morioka et al., Suppression of Poly-Gate-Induced Fluctuations in Carrier Profiles of Sub-50nm MOSFETs, 2006 International Electron Devices Meeting, pp.1-4, 2006.
DOI : 10.1109/IEDM.2006.346762

H. Mahmoodi, S. Mukhopadhyay, and K. Roy, Estimation of delay variations due to random-dopant fluctuations in nanoscale CMOS circuits, IEEE Journal of Solid-State Circuits, vol.40, issue.9, pp.1787-1796, 2005.
DOI : 10.1109/JSSC.2005.852164

H. Masuda, S. Okawa, and M. Aoki, Approach for physical design in sub-100 nm era, ISCAS " 05, pp.5934-5937, 2005.

H. Tuinhout, Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies, pp.95-96, 2002.

H. Watanabe, Statistics of Grain Boundaries in Polysilicon, IEEE Transactions on Electron Devices, vol.54, issue.1, pp.38-44, 2007.
DOI : 10.1109/TED.2006.887212

H. P. Wong, Y. Taur, and D. J. Frank, Discrete random dopant distribution effects in nanometer-scale MOSFETs, Microelectronics Reliability, vol.38, issue.9, pp.1447-1456, 1998.
DOI : 10.1016/S0026-2714(98)00053-5

H. Y. Liu, L. Karklin, Y. T. Wang, and Y. C. Pati, The application of alternating phase shifting masks to 140nm gate patterning: Line width control improvements and design optimization, Proc. of SPIE Symp. on Photomask Technologies, pp.328-337, 1998.

T. Shibuya and K. Homma, Statistical static timing analysis technology, FUJITSU Sci. Tech J, vol.50, issue.43, pp.516-523, 2007.

I. Sutherland, B. Sproull, and D. Harris, Logical Effort ? Designing fast CMOS Circuits

J. Tschanz, K. Bowman, and V. De, Variation-tolerant circuits, Proceedings of the 42nd annual conference on Design automation , DAC '05, pp.762-763, 2005.
DOI : 10.1145/1065579.1065780

J. A. Croona, G. Storms, S. Winkelmeierc, I. Pollentier, M. Ercken et al., Line edge roughness: characterization, modeling and impact on device behavior, Digest. International Electron Devices Meeting,, pp.2-307
DOI : 10.1109/IEDM.2002.1175840

J. Huang, V. C. Chang, S. Liu, K. Y. Doong, and K. J. Chang, Modeling Sub-90nm On-Chip Variation Using Monte Carlo Method for DFM, 2007 Asia and South Pacific Design Automation Conference, pp.221-225, 2007.
DOI : 10.1109/ASPDAC.2007.357989

J. H. Kim, W. Kim, and Y. H. Kim, Effect of local random variation on gate-level delay and leakage statistical analysis, 2009 1st Asia Symposium on Quality Electronic Design, pp.255-258, 2009.
DOI : 10.1109/ASQED.2009.5206258

J. W. Tschanz, J. T. Kao, S. G. Narendra, R. Nair, D. A. Antoniadis et al., Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage, JSSC, vol.37, issue.11, 2002.

J. Juan, E. G. Becerra, and . Friedman, Analog Design Issues in Digital VLSI Circuits and Systems, Analog Integrated Circuits and Signal Processing, vol.14, issue.12, pp.5-8, 1997.

K. Choi, R. Soma, and M. Pedram, Dynamic voltage and frequency scaling based on workload decomposition, Proceedings of the 2004 international symposium on Low power electronics and design , ISLPED '04, pp.174-179, 2004.
DOI : 10.1145/1013235.1013282

K. Roy, J. P. Kulkarni, and H. Myeong-eun, Process-Tolerant Ultralow Voltage Digital Subthreshold Design, 2008 IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems, pp.42-45, 2008.
DOI : 10.1109/SMIC.2008.17

K. Takeuchi, Clock-Skew Test Module for Exploring Reliable Clock-Distribution Under Process and Global Voltage-Temperature Variations, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.16, issue.11, pp.1559-1566, 2008.
DOI : 10.1109/TVLSI.2008.2000975

K. A. Bowman and J. D. , Impact of within-die parameter fluctuations on future maximum clock frequency distributions, Proceedings of the IEEE 2001 Custom Integrated Circuits Conference (Cat. No.01CH37169), pp.229-232, 2001.
DOI : 10.1109/CICC.2001.929761

K. A. Bowman, S. G. Duvall, and J. D. , Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration, IEEE Journal of Solid-State Circuits, vol.37, issue.2, pp.183-190, 2002.
DOI : 10.1109/4.982424

K. A. Bowman, T. Xinghai, J. C. Eble, and J. D. , Impact of extrinsic and intrinsic parameter fluctuations on CMOS circuit performance, IEEE Journal of Solid-State Circuits, vol.35, issue.8, pp.1186-1193, 2000.
DOI : 10.1109/4.859508

K. J. Kuhn, Reducing Variation in Advanced Logic Technologies: Approaches to Process and Design for Manufacturability of Nanoscale CMOS, 2007 IEEE International Electron Devices Meeting, pp.471-474, 2007.
DOI : 10.1109/IEDM.2007.4418976

L. Liebmann, G. Northrop, J. Culp, L. Sigal, A. Barish et al., Layout optimization at the pinnacle of optical lithography, Design and Process Integration for Microelectronic Manufacturing, pp.1-14, 2003.
DOI : 10.1117/12.485245

L. T. Pileggi, Achieving Timing Closure for Giga-Scale IC Designs, Proc. Intl. Symp. On Timing Issues, pp.25-28, 1999.

L. T. Pang and B. Nikolic, Measurement and analysis of variability in 45nm strained-Si CMOS technology, 2008 IEEE Custom Integrated Circuits Conference, pp.129-132, 2008.
DOI : 10.1109/CICC.2008.4672038

L. T. Pang and B. Nikolic, Impact of Layout on 90nm CMOS Process Parameter Fluctuations, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers., pp.69-70, 2006.
DOI : 10.1109/VLSIC.2006.1705316

M. Abu-rahma and M. Anis, A Statistical Design-Oriented Delay Variation Model Accounting for Within-Die Variations, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.27, issue.11, pp.1983-1995, 2008.
DOI : 10.1109/TCAD.2008.2006096

M. Anis and M. H. Aburahma, Leakage current variability in nanometer technologies, Fifth International Workshop on System-on-Chip for Real-Time Applications (IWSOC'05), pp.60-63, 2005.
DOI : 10.1109/IWSOC.2005.78

M. Annavaram, E. Grochowski, and P. Reed, Implications of Device Timing Variability on Full Chip Timing, 2007 IEEE 13th International Symposium on High Performance Computer Architecture, pp.37-45, 2007.
DOI : 10.1109/HPCA.2007.346183

M. Hane, T. Ikezawa, and T. Ezaki, Atomistic 3D process/device simulation considering gate line-edge roughness and poly-Si random crystal orientation effects [MOSFETs], IEEE International Electron Devices Meeting 2003, 2003.
DOI : 10.1109/IEDM.2003.1269263

M. Hane, T. Ikezawa, and T. Ezaki, Coupled atomistic 3D process/device simulation considering both line-edge roughness and random-discrete-dopant effects, International Conference on Simulation of Semiconductor Processes and Devices, 2003. SISPAD 2003., pp.99-102, 2003.
DOI : 10.1109/SISPAD.2003.1233647

M. Mondal, K. Mohanram, and Y. Massoud, Parameter-Variation-Aware Analysis for Noise Robustness, 8th International Symposium on Quality Electronic Design (ISQED'07), pp.655-659, 2007.
DOI : 10.1109/ISQED.2007.115

M. Nishida and H. Ohyabu, Temperature dependence of MOSFET characteristics in weak inversion, IEEE Transactions on Electron Devices, pp.1245-1248, 1977.
DOI : 10.1109/T-ED.1977.18987

M. Orshansky, L. Milor, C. Pinhong, K. Keutzer, and C. Hu, Impact of spatial intrachip gate length variability on the performance of high-speed digital circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.21, issue.5, pp.544-553, 2002.
DOI : 10.1109/43.998626

M. H. Abu-rahma and M. Anis, Variability in VLSI Circuits: Sources and Design Considerations, 2007 IEEE International Symposium on Circuits and Systems, pp.3215-3218, 2007.
DOI : 10.1109/ISCAS.2007.378156

M. Raji, B. Ghavami, and H. Pedram, Statistical static performance analysis of asynchronous circuits considering process variation, 2009 10th International Symposium on Quality of Electronic Design, pp.291-296, 2009.
DOI : 10.1109/ISQED.2009.4810310

N. Borivoje, Measurements and analysis of process variability in 90nm CMOS, ICSICT '06, pp.505-508, 2006.

N. Gunther, E. Hamadeh, D. Niemann, I. Pesic, and M. Rahman, Modeling intrinsic fluctuations in decananometer MOS devices due to gate line edge roughness (LER), pp.510-515, 2005.

N. Menezes, The good, the bad, and the statistical, Proceedings of the 2007 international symposium on Physical design , ISPD '07, p.168, 2007.
DOI : 10.1145/1231996.1232031

N. Moubdi, Product On-Chip Process Compensation for Low Power and Yield Enhancement Integrated Circuit and System Design, Power and Timing Modeling, Optimization and Simulation, pp.247-255, 2010.

N. Verghese and P. Hurat, DFM reality in sub-nanometer IC design, 2007 Asia and South Pacific Design Automation Conference, pp.226-231, 2007.
DOI : 10.1109/ASPDAC.2007.357990

N. A. Kurd, J. S. Barkatullah, R. O. Dizon, T. D. Fletcher, and P. D. Madland, A multigigahertz clocking scheme for the Pentium(R) 4 microprocessor, IEEE Journal of Solid-State Circuits, vol.36, issue.11, pp.1647-1653, 2001.
DOI : 10.1109/4.962284

P. Burggraaf, Optical lithography to 2000 and beyond, J. Of Solid State Technology, vol.42, issue.2, pp.31-41, 1999.

P. Gupta and A. B. Kahng, Manufacturing-aware physical design, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486), pp.681-687, 2003.
DOI : 10.1109/ICCAD.2003.159753

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.189.1582

P. Oldiges, Q. Lint, K. Petrillot, M. Sanchez, M. Ieong et al., Modeling line edge roughness effects in sub 100 nanometer gate length devices, 2000 International Conference on Simulation Semiconductor Processes and Devices (Cat. No.00TH8502), pp.131-134, 2000.
DOI : 10.1109/SISPAD.2000.871225

P. Zarkesh-ha, S. Lakshminarayanan, K. Doniger, W. Loh, and P. Wright, Impact of interconnect pattern density information on a 90 nm technology ASIC design flow, Fourth International Symposium on Quality Electronic Design, 2003. Proceedings., pp.405-409, 2003.
DOI : 10.1109/ISQED.2003.1194767

P. Zarkesh-ha, S. Lakshminarayanan, K. Doniger, W. Loh, and P. Wright, Impact of interconnect pattern density information on a 90 nm technology ASIC design flow, Fourth International Symposium on Quality Electronic Design, 2003. Proceedings., pp.405-409, 2003.
DOI : 10.1109/ISQED.2003.1194767

P. R. Groeneveld, Physical design challenges for billion transistor chips, Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors, pp.78-83, 2002.
DOI : 10.1109/ICCD.2002.1106751

P. S. Zuchowski, P. A. Habitz, J. D. Hayes, and J. H. Oppold, Process and environmental variation impacts on ASIC timing, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004., pp.336-342, 2004.
DOI : 10.1109/ICCAD.2004.1382597

R. Aitken, Defect or Variation? Characterizing Standard Cell Behavior at 90nm and below, 8th International Symposium on Quality Electronic Design (ISQED'07), pp.693-698, 2007.
DOI : 10.1109/ISQED.2007.54

R. Kumar and V. Kursun, Impact of temperature fluctuations on circuit characteristics in 180nm and 65nm CMOS technologies, 2006 IEEE International Symposium on Circuits and Systems, pp.410-415, 2006.
DOI : 10.1109/ISCAS.2006.1693470

R. C. Aitken, Defect or Variation? Characterizing Standard Cell Behavior at 90 nm and Below, IEEE Transactions on Semiconductor Manufacturing, vol.21, issue.1, pp.46-54, 2008.
DOI : 10.1109/TSM.2007.913191

R. Difrenza, J. Vildeuil, P. Llinares, and G. Ghibaudo, Impact of grain number fluctuations in the MOS transistor gate on matching performance, International Conference on Microelectronic Test Structures, 2003., pp.244-249, 2003.
DOI : 10.1109/ICMTS.2003.1197469

S. Bhunia, S. Mukhopadhyay, and K. Roy, Process Variations and Process-Tolerant Design, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07), pp.699-704, 2010.
DOI : 10.1109/VLSID.2007.131

S. Borkar, T. Karnik, S. Narendra, J. Tschanz, A. Keshavarzi et al., Parameter variations and impact on circuits and microarchitecture, Proceedings of the 40th conference on Design automation , DAC '03, pp.338-342, 2003.
DOI : 10.1145/775832.775920

S. Das, S. Pant, D. Roberts, S. Lee, D. Blaauw et al., A Self-Tuning DVS Processor Using Delay-Error Detection and Correction, JSSC, vol.41, issue.4, pp.792-804, 2006.

S. Ghosh, S. Bhunia, and K. Roy, A New Paradigm for Low-power, Variation- Tolerant Circuit Synthesis Using Critical Path Isolation, pp.619-624, 2006.

S. Mukhopadhyay, K. Keejong, H. Mahmoodi, and K. Roy, Design of a Process Variation Tolerant Self-Repairing SRAM for Yield Enhancement in Nanoscaled CMOS, IEEE Journal of Solid-State Circuits, vol.42, issue.6, pp.1370-1382, 2007.
DOI : 10.1109/JSSC.2007.897161

S. Nassif, K. Bernstein, D. J. Frank, A. Gattiker, W. Haensch et al., High Performance CMOS Variability in the 65nm Regime and Beyond, 2007 IEEE International Electron Devices Meeting, pp.433-449, 2006.
DOI : 10.1109/IEDM.2007.4419002

S. Saxena, C. Hess, H. Karbasi, A. Rossoni, S. Tonello et al., Variation in Transistor Performance and Leakage in Nanometer-Scale Technologies, IEEE Transactions on Electron Devices, vol.55, issue.1, pp.131-144, 2008.
DOI : 10.1109/TED.2007.911351

S. Sayil and M. Rudrapati, Accurate Prediction of Crosstalk for RC Interconnects, Turk J Elec Eng & Comp Sci, vol.17, issue.1, pp.55-67, 2009.

S. Sundareswaran, L. Nechanicka, R. Panda, S. Gavrilov, R. Solovyev et al., A Timing Methodology Considering Within-Die Clock Skew Variations SOC Conference, sept, pp.351-356, 2008.

S. Zanella, A. Nardi, A. Neviani, M. Quarantelli, S. Saxena et al., Analysis of the impact of process variations on clock skew, IEEE Transactions on Semiconductor Manufacturing, vol.13, issue.4, pp.401-407, 2000.
DOI : 10.1109/66.892625

S. K. Springer, Modeling of Variation in Submicrometer CMOS ULSI Technologies, IEEE Transactions on Electron Devices, vol.53, issue.9, pp.2168-2178, 2006.
DOI : 10.1109/TED.2006.880165

S. R. Stg, J. Srivatsava, N. Tondamuthuru, and R. , Process Variability Analysis in DSM Through Statistical Simulations and its Implications to Design Methodologies, 9th International Symposium on Quality Electronic Design (isqed 2008), pp.325-329, 2008.
DOI : 10.1109/ISQED.2008.4479749

R. Semiconductor-reliability-handbook, H. Technology-seong-dong-kim, J. C. Wada, and . Woo, TCAD-based statistical analysis and modeling of gate line-edge roughness effect on nanoscale MOS transistor performance and scaling, IEEE Tran. on Semiconductor Manufacturing, vol.114, issue.17 2, pp.192-200, 2004.

T. Chawla, A. Amara, and A. Vladimirescu, Yield, Power and Performance Optimization for Low Power Clock Network under Parametric Variations in Nanometer Scale Design, 2006 49th IEEE International Midwest Symposium on Circuits and Systems, pp.231-235, 2006.
DOI : 10.1109/MWSCAS.2006.382252

T. Chawla, S. Marchal, A. Amara, and A. Vladimirescu, Impact of intra-die random variations on clock tree, 2009 NORCHIP, pp.1-4, 2009.
DOI : 10.1109/NORCHP.2009.5397809

T. Chawla, S. Marchal, A. Amara, and A. Vladimirescu, Local Mismatch in 45nm Digital Clock Networks, pp.466-469, 2009.

T. Chawla, S. Marchal, A. Amara, and A. Vladimirescu, Pulse width degradation in 45nm ASIC design due to global and environmental variations, 2009 International Conference on Microelectronics, ICM, pp.302-305, 2009.
DOI : 10.1109/ICM.2009.5418624

T. Chawla, S. Marchal, A. Amara, and A. Vladimirescu, Pulse width variation tolerant clock tree using unbalanced cells for low power design, 2009 52nd IEEE International Midwest Symposium on Circuits and Systems, pp.443-446, 2009.
DOI : 10.1109/MWSCAS.2009.5236061

T. Sakurai and A. R. Newton, Delay analysis of series-connected MOSFET circuits, IEEE Journal of Solid-State Circuits, vol.26, issue.2, pp.122-131, 1991.
DOI : 10.1109/4.68126

T. Yamaguchi, K. Yamazaki, M. Nagase, and H. Namatsu, Line-edge roughness: characterization and material origin, 2002 International Microprocesses and Nanotechnology Conference, 2002. Digest of Papers., pp.3755-3762, 2003.
DOI : 10.1109/IMNC.2002.1178552

]. V. Mehrotra, S. Nassif, D. Boning, and J. Chung, Modeling the effects of manufacturing variation on high-speed microprocessor interconnect performance, International Electron Devices Meeting 1998. Technical Digest (Cat. No.98CH36217), pp.767-770, 1998.
DOI : 10.1109/IEDM.1998.746469

X. Qi, A. Gyure, Y. Luo, S. C. Lo, M. Shahram et al., Simulation of interconnect inductive impact in the presence of process variations in 90 nm and beyond, IEEE Elec. Dev. Letters, vol.27, issue.8, pp.696-698, 2006.

Y. Taur, D. A. Buchanan, W. Chen, D. J. Frank, K. E. Ismail et al., CMOS scaling into the nanometer regime, CMOS scaling into the nanometer regime, pp.486-504, 1997.
DOI : 10.1109/5.573737

Y. Tsai, N. Vijaykrishnan, Y. Xie, and M. J. Irwin, Influence of leakage reduction techniques on delay/leakage uncertainty, 18th Intl. Conf. on VLSI Design, pp.374-379, 2005.

Y. Fu-liang, H. Jiunn-ren, and L. Yiming, Electrical Characteristic Fluctuations in Sub-45nm CMOS Devices, pp.691-694, 2006.

Y. Cao and L. T. Clark, Mapping statistical process variations toward circuit performance variability, Proceedings of the 42nd annual conference on Design automation , DAC '05, pp.658-663, 2005.
DOI : 10.1145/1065579.1065752

T. Chawla, S. Marchal, A. Amara, and A. Vladimirescu, Impact of intra-die random variations on clock tree, 2009 NORCHIP, pp.1-4, 2009.
DOI : 10.1109/NORCHP.2009.5397809

T. Chawla, S. Marchal, A. Amara, and A. Vladimirescu, Local Mismatch in 45nm Digital Clock Networks, pp.466-469, 2009.

T. Chawla, S. Marchal, A. Amara, and A. Vladimirescu, Pulse width degradation in 45nm ASIC design due to global and environmental variations, 2009 International Conference on Microelectronics, ICM, pp.302-305, 2009.
DOI : 10.1109/ICM.2009.5418624

T. Chawla, S. Marchal, A. Amara, and A. Vladimirescu, Pulse width variation tolerant clock tree using unbalanced cells for low power design, 2009 52nd IEEE International Midwest Symposium on Circuits and Systems, pp.443-446, 2009.
DOI : 10.1109/MWSCAS.2009.5236061