A new synthesis methodology for reliable RF front-end Design, 2011 IEEE International Symposium of Circuits and Systems (ISCAS), 2011. ,
DOI : 10.1109/ISCAS.2011.5938204
URL : https://hal.archives-ouvertes.fr/hal-01222152
A synthesis methodology for AMS/RF circuit reliability: Application to a DCO design, Microelectronics Reliability, vol.51, issue.4, pp.765-772, 2010. ,
DOI : 10.1016/j.microrel.2010.11.002
URL : https://hal.archives-ouvertes.fr/hal-01417129
Naviner WLAN / WiMAX RF Front-End Reliability Analysis, Proc. IEEE of, pp.46-49 ,
AMS and RF design for reliability methodology, Proceedings of 2010 IEEE International Symposium on Circuits and Systems, 2010. ,
DOI : 10.1109/ISCAS.2010.5537771
CMOS 65 nm wideband LNA reliability estimation, 2009 Joint IEEE North-East Workshop on Circuits and Systems and TAISA Conference, 2009. ,
DOI : 10.1109/NEWCAS.2009.5290434
Conception de Circuit RF pour Fiabilité parameter real noiseFloor = -180 ;// noiseFloor: the noise floor (dBc/Hz) default:-180 dBc/Hz parameter real f1 = 1e6 ;// f1: frequency point for Lf1 (Hz) default: 1MHz parameter real Lf1 = -100 ;// Lf1: phase noise at f1(dBc/Hz) default: -100 dBc/Hz parameter real fc = 0, Proc. JNRDM, 2009. ,
0*I_a/3.0)*I_vinmax ,
Emerging yield and reliability challenges in nanometer CMOS technologies, Proceedings of the conference on Design, automation and test in Europe, DATE '08, pp.1322-1327, 2008. ,
DOI : 10.1145/1403375.1403694
A Wideband Balun LNA I/Q-Mixer combination in 65nm CMOS, Proc. IEEE Int. Solid-State Circuits, pp.326-328, 2008. ,
Conception portable d'une ADPLL pour des applications TV, 2009. ,
URL : https://hal.archives-ouvertes.fr/pastel-00570695
Multi-Mode, Multi-Band Active-RC Filter and Tuning Circuits for SDR Applications, Advances in Solid State Circuits Technologies. INTECH, pp.95-106, 2010. ,
Adaptive CMOS analog circuits for 4G mobile terminals???Review and state-of-the-art survey, Microelectronics Journal, vol.40, issue.1, pp.156-176, 2009. ,
DOI : 10.1016/j.mejo.2008.07.001
Statistical static timing analysis: A survey, Integration, the VLSI Journal, vol.42, issue.3, pp.409-435, 2009. ,
DOI : 10.1016/j.vlsi.2008.10.002
Impact of die-to-die and within-die parameter variations on the throughput distribution of multi-core processors, Proceedings of the 2007 international symposium on Low power electronics and design, ISLPED '07, pp.50-55, 2007. ,
DOI : 10.1145/1283780.1283792
A New TDDB Reliability Prediction Methodology Accounting for Multiple SBD and Wear Out, IEEE Transactions on Electron Devices, vol.56, issue.7, pp.1424-1432, 2009. ,
DOI : 10.1109/TED.2009.2021810
A comprehensive model of PMOS NBTI degradation, Microelectronics Reliability, vol.45, issue.1, pp.71-81, 2005. ,
DOI : 10.1016/j.microrel.2004.03.019
Reliability limits for the gate insulator in CMOS technology, IBM Journal of Research and Development, vol.46, issue.2.3, pp.265-286, 2002. ,
DOI : 10.1147/rd.462.0265
Impact of MOSFET gate oxide breakdown on digital circuit operation and reliability, IEEE Transactions on Electron Devices, vol.49, issue.3, pp.500-506, 2002. ,
DOI : 10.1109/16.987122
Electromigration—A brief survey and some recent results, IEEE Transactions on Electron Devices, vol.16, issue.4, pp.338-347, 1969. ,
DOI : 10.1109/T-ED.1969.16754
Electronic circuit reliability modeling, Microelectronics Reliability, vol.46, issue.12, pp.1957-1979, 2006. ,
DOI : 10.1016/j.microrel.2005.12.004
Design methodologies and tools for circuit design in CMOS nanometer technologies, IEEE Proc. of ESSDERC, pp.21-32, 2006. ,
Design for variability in DSM technologies, Proc. of IEEE ISQED, pp.451-454, 2000. ,
Yield-aware analog integrated circuit optimization using geostatistics motivated performance modeling, IEEEACM Proc of ICCAD, pp.464-469, 2007. ,
Toward Variability-Aware Design, 2007 IEEE Symposium on VLSI Technology, pp.92-93, 2007. ,
DOI : 10.1109/VLSIT.2007.4339740
A Simplified Design Model for Random Process Variability, IEEE Transactions on Semiconductor Manufacturing, vol.22, issue.1, pp.12-21, 2009. ,
DOI : 10.1109/TSM.2008.2011630
ContributionàContribution`Contributionà l'intégration de la fiabilité dans le flot de conception des circuits intégrés fondée sur l'utilisation d'un langage de description comportementale VHDL-AMS, Ph.D, 2004. ,
A reliability-aware RF power amplifier design for CMOS radio chip integration, 2008 IEEE International Reliability Physics Symposium, pp.536-540, 2008. ,
DOI : 10.1109/RELPHY.2008.4558942
CMOS RF Design for Reliability Using Adaptive Gate–Source Biasing, IEEE Transactions on Electron Devices, vol.55, issue.9, pp.2348-2353, 2008. ,
DOI : 10.1109/TED.2008.928024
Lifetime modelling for microsystems integration: from nano to systems, Microsystem Technologies, vol.124, issue.6, pp.799-812, 2009. ,
DOI : 10.1007/s00542-009-0860-z
A new synthesis methodology for reliable RF front-end Design, 2011 IEEE International Symposium of Circuits and Systems (ISCAS), pp.1-4, 2011. ,
DOI : 10.1109/ISCAS.2011.5938204
URL : https://hal.archives-ouvertes.fr/hal-01222152
um CMOS PLL Based on an Interpolative Oscillator, Proc. Symposium on VLSI Circuits, pp.100-103, 2005. ,
A synthesis methodology for AMS/RF circuit reliability: Application to a DCO design, Microelectronics Reliability, vol.51, issue.4, pp.765-772, 2010. ,
DOI : 10.1016/j.microrel.2010.11.002
URL : https://hal.archives-ouvertes.fr/hal-01417129
Available: http://www.designers-guide.org/Modeling/modeling-rf-systems.pdf WLAN / WiMAX RF Front-End Reliability Analysis, Proc. IEEE of, pp.1-41, 2006. ,
Quality and Reliability of Technical Systems, Theory -Practice -Management, 1994. ,
Reliability Issues in Deep Deep Submicron Technologies: Time-Dependent Variability and its Impact on Embedded System Design International Technology Roadmap of Semiconductors Comparing SOI and bulk FinFETs: Perfor- mance, manufacturing variability, and cost Available: http://www.electroiq.com/articles/sst A New Instability in MOS Transistor Caused by Hot Electron and Hole Injection from Drain Avalanche Plasma into Gate Oxide, VLSI-SoC: Research Trends in VLSI and SystemsCover Article/Comparing SOI and bulk Fi [33] H. Hara, Y. Okamoto, and H. Ohnuma, pp.119-141, 1970. ,
Compact Modeling of MOSFET Wearout Mechanisms for Circuit-Reliability Simulation, IEEE Transactions on Device and Materials Reliability, vol.8, issue.1, pp.98-121, 2008. ,
DOI : 10.1109/TDMR.2008.915629
Device Performance Degradation Due to Hot-Carrier Injection at Energies Below the Si-SiO 2 Energy Barrier, Proc. IEEE Int. Electron Devices Meeting, pp.396-399, 1983. ,
Compact Modeling and Simulation of Circuit Reliability for 65-nm CMOS Technology, IEEE Transactions on Device and Materials Reliability, vol.7, issue.4, pp.509-517, 2007. ,
DOI : 10.1109/TDMR.2007.910130
Negative Bias Temperature Instability: Estimation and Design for Improved Reliability of Nanoscale Circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.26, issue.4, pp.743-751, 2007. ,
DOI : 10.1109/TCAD.2006.884870
Investigation of Silicon-Silicon Dioxide Interface Using MOS Structure, Japanese Journal of Applied Physics, vol.5, issue.2, p.180, 1966. ,
DOI : 10.1143/JJAP.5.180
The negative bias temperature instability in MOS devices: A review, Microelectronics Reliability, vol.46, issue.2-4, pp.270-286, 2006. ,
DOI : 10.1016/j.microrel.2005.08.001
Defect Generation in p-MOSFETs Under Negative-Bias Stress: An Experimental Perspective, IEEE Transactions on Device and Materials Reliability, vol.8, issue.1, pp.35-46, 2008. ,
DOI : 10.1109/TDMR.2007.912261
On-the-fly characterization of NBTI in ultra-thin gate oxide PMOSFET's, Proc. IEEE Int. Electron Devices Meeting, pp.109-112, 2004. ,
Gate oxide breakdown in FET devices and circuits: From nanoscale physics to system-level reliability, Microelectronics Reliability, vol.47, issue.4-5, pp.559-566, 2007. ,
DOI : 10.1016/j.microrel.2007.01.063
Ultra-thin gate dielectrics: they break down, but do they fail?, International Electron Devices Meeting. IEDM Technical Digest, pp.73-76, 1997. ,
DOI : 10.1109/IEDM.1997.649463
A function-fit model for the soft breakdown failure mode, IEEE Electron Device Letters, vol.20, issue.6, pp.265-267, 1999. ,
DOI : 10.1109/55.767093
Physics of Electromigration, 12th International Reliability Physics Symposium, pp.142-149, 1974. ,
DOI : 10.1109/IRPS.1974.362640
A Model for Negative Bias Temperature Instability (NBTI) in Oxide and High k pFETs, Proc. IEEE Symposium on VLSI Technology, pp.208-209, 2004. ,
A Model for Negative Bias Temperature Instability in Oxide and High κ pFETs, 2007 IEEE International Conference on Integrated Circuit Design and Technology, pp.1-5, 2007. ,
DOI : 10.1109/ICICDT.2007.4299550
Impact of Voltage Scaling on, IEEE Proc. of DATE, pp.387-392, 2009. ,
Stress-induced voiding under vias connected to wide Cu metal leads, 2002 IEEE International Reliability Physics Symposium. Proceedings. 40th Annual (Cat. No.02CH37320), pp.312-321, 2002. ,
DOI : 10.1109/RELPHY.2002.996654
Study of stress migration and electromigration interaction in copper/low-κ interconnects, 2010 IEEE International Reliability Physics Symposium, pp.586-590, 2010. ,
DOI : 10.1109/IRPS.2010.5488767
Microscopic theory of hydrogen in silicon devices, IEEE Transactions on Electron Devices, vol.47, issue.10, pp.1779-1786, 2000. ,
DOI : 10.1109/16.870547
Negative bias stress of MOS devices at high electric elds and degradation of MNOS devices, J. Appl. Phys, vol.48, issue.5, pp.2004-2018, 1977. ,
Analog circuit optimization system based on hybrid evolutionary algorithms, Integration, the VLSI Journal, vol.42, issue.2, pp.137-148, 2009. ,
DOI : 10.1016/j.vlsi.2008.04.003
Parasitic-Aware Optimization of CMOS RF Circuits, 2003. ,
Highly Efficient Gradient Computation for Density-Constrained Analytical Placement, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.27, issue.12, pp.2133-2144, 2008. ,
DOI : 10.1109/TCAD.2008.2006158
An analytical placer for mixed-size 3D placement, Proceedings of the 19th international symposium on Physical design, ISPD '10, p.61, 2010. ,
DOI : 10.1145/1735023.1735044
A tutorial on geometric programming, Optimization and Engineering, vol.141, issue.1-2, pp.67-127, 2007. ,
DOI : 10.1007/s11081-007-9001-7
Techniques for improving the accuracy of geometric-programming based analog circuit design optimization, Proc. of IEEE/ACM International Conference on Computer Aided Design. Ieee, pp.863-870, 2004. ,
Regular Analog/RF Integrated Circuits Design Using Optimization With Recourse Including Ellipsoidal Uncertainty, IEEE Trans. Comput.-Aided Design Integr. Circuits Syst, vol.28, issue.5, pp.623-637, 2009. ,
Analog circuit design optimization based on symbolic simulation and simulated annealing, IEEE Journal of Solid-State Circuits, vol.25, issue.3, pp.707-713, 1990. ,
DOI : 10.1109/4.102664
Design and optimization of CMOS RF power amplifiers, IEEE Journal of Solid-State Circuits, vol.36, issue.2, pp.166-175, 2001. ,
DOI : 10.1109/4.902757
Genetic Algorithms in Search, Optimization and Machine Learning Analog Circuit Design using Genetic Algorithm : Modified, World Academy of Science , Engineering and Technology, vol.14, pp.62-64, 1989. ,
Design of Analog Integrated Circuits by Using Genetic Algorithm, 2010 Second International Conference on Computer Engineering and Applications, pp.578-581, 2010. ,
DOI : 10.1109/ICCEA.2010.118
Parameter reduction for variability analysis by slice inverse regression method, IET Circuits, Devices & Systems, p.16, 2008. ,
CAFFEINE: Template-Free Symbolic Model Generation of Analog Circuits via Canonical Form Functions and Genetic Programming, IEEE Proc. of DATE. IEEE, pp.1082-1087, 2005. ,
URL : https://hal.archives-ouvertes.fr/hal-00181274
ELDO Integrated Circuit Simulation Mentor Graphics, Tech. Rep, 2009. ,
Accelerating Analog Simulation with HSPICE Precision Parallel Technology, 2010. ,
Effective Corner-Based Techniques for Variation-Aware IC Timing Verification, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.29, issue.1, pp.157-162, 2010. ,
DOI : 10.1109/TCAD.2009.2034343
Correlation controlled sampling for efficient variability analysis of analog circuits, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010), pp.1305-1308, 2010. ,
DOI : 10.1109/DATE.2010.5457008
Response Surface Methodology: Process and Product Optimization Using Designed Experiments, 2009. ,
Berkeley reliability tools-BERT, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.12, issue.10, pp.1524-1534, 1993. ,
DOI : 10.1109/43.256927
RF Circuit Design in Reliability, Proc. IEEE Int. Microwave Symposium Digest, pp.61-64, 2003. ,
Variability-aware reliability simulation of mixed-signal ICs with quasi-linear complexity, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010), pp.1094-1099, 2010. ,
DOI : 10.1109/DATE.2010.5456972
Designing in reliability in advanced CMOS technologies, Microelectronics Reliability, vol.46, issue.9-11, pp.1464-1471, 2006. ,
DOI : 10.1016/j.microrel.2006.07.012
RF CMOS reliability simulations, Microelectronics Reliability, vol.48, issue.8-9, pp.1581-1585, 2008. ,
DOI : 10.1016/j.microrel.2008.06.017
Robust Inductor Design for RF Circuits, IEEE Custom Integrated Circuits Conference 2006, pp.571-574, 2006. ,
DOI : 10.1109/CICC.2006.320993
Reliability analysis of analog circuits using quadratic lifetime worst-case distance prediction, IEEE Custom Integrated Circuits Conference 2010, pp.1-4, 2010. ,
DOI : 10.1109/CICC.2010.5617446
CMOS 65 nm wideband LNA reliability estimation, Proc. IEEE NEWCAS, pp.1-4, 2009. ,
Phase Noise and Jitter in CMOS Ring Oscillators, IEEE Journal of Solid-State Circuits, vol.41, issue.8, pp.1803-1816, 2006. ,
DOI : 10.1109/JSSC.2006.876206
Design of Analog CMOS Integrated Circuits, 2003. ,
RF System Design of Transceivers for Wireless Communications, 2006. ,
The gm/ID Methodology, a sizing tool for low-voltage analog CMOS Circuits, pp.978-978, 2010. ,
DOI : 10.1007/978-0-387-47101-3
Game Theoretical Resource Allocation for Inter-BS Coexistence in IEEE 802, IEEE Transactions on Vehicular Technology, vol.22, issue.59 4, pp.1729-1744, 2010. ,
Equilibrium points in n-person games, Proceedings of the National Academy of Sciences, vol.36, issue.1, pp.48-49, 1950. ,
DOI : 10.1073/pnas.36.1.48
SAUCES: a sensitivity analysis program for analog circuit design, [Proceedings] 1992 IEEE International Symposium on Circuits and Systems, pp.1175-1178, 1992. ,
DOI : 10.1109/ISCAS.1992.230316