I. Parallelization and :. Strategy, 128 5.1 SOM and LVQ Parallel Implementation, p.128

J. Mitola, The Software Radio IEEE National Telesystems Conference, 1992 -Digital Object Identifier 10, 1109.

R. J. Lackey and D. W. , Speakeasy: the military software radio, IEEE Communications Magazine, vol.33, issue.5, pp.56-61, 1995.
DOI : 10.1109/35.392998

V. G. Bose, Design and Implementation of Software Radios using a General Purpose processor, 1999.

E. Buracchini, The software radio concept, IEEE Commun. Magazine, pp.9-138, 2000.
DOI : 10.1109/35.868153

C. R. Gonzales, C. B. Dietrich, S. Sayed, H. I. Volos, J. D. Gaeddert et al., Open-source SCA-based core framework and rapid development tools enable software-defined radio education and research, IEEE Communications Magazine, vol.47, issue.10, 2009.
DOI : 10.1109/MCOM.2009.5273808

C. Lee, J. Kim, S. Hyeon, and S. Choi, FPGA Design to support a CORBA component, p.8

]. J. Glossner, M. Moudgill, D. Iancu, G. Nacer, S. Jintukar et al., Future Wireless Convergence Platforms Pages: 7-12 Year of Publication The Sandbridge SB3011 Platform, Technical Conf. Product Exposition Proceedings of the 3rd IEEE/ACM/IFIP international conference on Hardware/Software codesign and system synthesis, 2005.

T. Ulversoyharada and R. Prasad, European approach for SDR certification », European defense Agency (EDA) presentation at the Wireless Innovation Forum Workshop Reconfigurable Radio Systems (RSS); SDR Reference Architecture for Mobile Devices IEEE Standards Association IEEE Standards Coordinating Committee 41 (Dynamic Spectrum Access networks) http://www.scc41.org [17 Software Communications Architecture Specifications Software defined Radio: Challenges and Opportunities Cognitive Radio: An integrated agent architecture for software defined radio, Fourth Quarter 2010. [21] Simulation and Software Radio for mobile communications Cognitive Radio: Brain-empowered wireless communications, 2000.

]. S. Shantaraskul and K. Moessner, Implementation of a generic algorithm based decision making framework for opportunistic radio, Areas Commun. IET Communications. Pp, vol.23, issue.2, pp.201-220, 2005.

J. Mitola, I. , G. Q. Maguire, and . Jr, Cognitive radio: making software radios more personal, Proceedings of the IEEE SoutheastCon 2010 SoutheastCon, pp.13-18, 1999.
DOI : 10.1109/98.788210

G. K. Rauwerda, G. J. Smit, L. F. Van-hoesel, P. M. Heysters-]-a, J. H. Mackenzie et al., Mapping Wireless Communication Algorithms to a Reconfigurable Architecture Cognitive Radio and Networking Research at Virginia Tech Defense against primary user emulation attacks in cognitive radio networks, Proceedings of ERSA'03 Cognitive Radio for flexible mobile multimedia communications " , 6 th IEEE International Workshop on Mobile Multimedia Communications, pp.242-25125, 2006.

]. J. Nov31, Z. Mitola-iiihuang, P. Zhang, G. Cheng, O. Yu et al., Cognitive Radio Architecture Evolution Throughput analysis of cognitive MIMO system Energy Performance Exploration of a CGA based SDR processor Indoor dynamic double directional measurements Applications of Machine Learning to Cognitive Radio Networks Simultaneous Power and Bit Allocation through Genetic Algorithm in a MB-OFDM System Open Platform for prototyping of Advanced Software Defined Radio and Cognitive Radio techniques, Proceedings of the IEEE Proc. Int. Workshop Cross Layer Design Proc. SDR Forum Tech Symp Proc. Int Conf. Electromagn. Adv.Applicat 12 th Euromicro Conference on Digital System Design39] M.Muck,D.Bourse,K.Moessner,N.Alonistioti,P.Demestichas,E.Nicollet,E.Buracchini,D, pp.3-10, 1999.

Z. Bateman, E. Boufidis, V. Patouni, A. Stavroulaki, P. Trogolo et al., End-to-End Reconfigurability in Heterogeneous Wireless Systems ? Software and Cognitive Radio Solutions enriched by Policy-and Context-based Decision Making Winner : Radio Revolutionaries Software Radio: A Modern Approach to Radio Engineering, Publisher, Proc.16th IST Mobile and Wireless Communications Summit] M.Cummings and S.Haruyama, " FPGA in the Software Radio IEEE Communication Magazine, pp.1-5108, 1999.

J. Fifieldrevés, V. Marojevic, R. Ferrus, and A. Gelonch, A software defined OFDM Modulator FPGA " s Middleware for Software Defined Radio Applications, International Conference on Field Programmable Logic and Applications, pp.598-601, 2005.

G. J. Minden, J. B. Evans, L. Searl, D. Depardo, V. R. Petty et al., KUAR:A Flexible Software Defined Radio Development Platform A Software Defined Radio Application utilizing modern FPGAs and NoC Interconnects Evaluation of FPGA based Software Radio Beamformers for 3G Wireless Design and implementation of an FPGA-based Multistandard Software Radio receiver, International conference on Field Programmable Logic and Applications, pp.1-5, 2007.

H. R. Myler, S. A. Bagasrawala, N. V. Narayana50-]-r, M. C. Reinhart, D. J. Scardelletti et al., Hardware Architecture Study for NASA " s Space Software Defined Radios Implementation of a BPSK Transceiver on Hybrid Software Defined Radio Platforms Location: Shenghai. [53 A Comparative Study of two Software defined Radio Platforms Location: Washington DC SODA: A Low Power Architecture for Software Radio Year of Publication A Coarse- Grained Array based Baseband Processor for 100 Mbps+Software Defined Radio ESL Design and HW/SW Co-verification of High-end Software Defined Radio Platforms Pages: 191-196, Year of Publication A Dual-Processor solution for the MAC Layer of a Software Defined Radio Terminal Architectures and Synthesis for embedded systems Hierarchical Coarse-grained Compilation for Software Defined radio Architectures and synthesis for Embedded Systems, Pages:115-124. Year of Publication A Real-Time GPP Software Defined Radio Testbed for the Physical Layer of Wireless Standards Design and Multi-Technology Multi-objective Comparative Analysis of Families of MPSoC Texas Instruments Multicore Fact Sheet SC-07175, A concurrent processing approach for Software Defined Radio Baseband Design th IEEE International Conference on Implementation of Software Radio based on PC and FPGA Circuits and Systems for Communications SDR Technical Conference Proceedings of the 33 rd International Symposium on Computer Architecture Proceedings of the 5 th IEEE/ACM International Conference on Hardware/Software codesign and system synthesis Proceedings of the 2005 International Conference on Compilers Proceedings of the 2007 International Conference on Compilers Thése soutenue Novembre 2009 à l " ENSTA ParisTech, France. [62] " ARM 11 MPCore SH-X3:SuperH multicore for embedded systems Proceedings of the 19th Symposium on High Performance Chips (Hot Chips " 07), pp.633-637, 2005.

T. Instruments, D. Multicore, and . Sprs552, Texas Instruments TMS320VC5441 Fixed-Point DSP data manual SPRS122F QORIQTM P4080 Communications Processor Venezia : a scalable multicore subsystem for multimedia applications, Proceedings of the 8th International Forum on Application Specific Multiprocessor SoC, 2008.

M. Rice and F. Harris, Polyphase filterbanks for symbol timing synchronization in sampled data receivers, MILCOM 2002. Proceedings, 2002.
DOI : 10.1109/MILCOM.2002.1179608

M. B. Page, K. Kamble, and . Ghosse, Analytical energy dissipation models for low power caches, proceedings of International Symposium on Low Power Electronics and Design, pp.982-986143, 1997.

S. Kannan, J. Fridman, T. Austin, and U. , Cached Memory Performance Characterization of a Wireless Digital Baseband Processor On Reconfigurable Cache for Computing Mapping of 40 MHz MIMO, Proceedings 17-21 Seventh Annual IEEE Symposium on Field-Programmable Custom Computing Machines, 1999. FCCM " 99. proceedings. [77] M.Palkovic, H.Cappelle, M.Bougard, L.Van der Perre, 2004.

S. Ofdm-baseband-processing-on-multi-processor, B. Platform, S. Mei, D. Vernalde, H. Verkest et al., ADRES: an architecture with tightly coupled VLIW processor and coarse-grained configurable matrix A Software Defined Radio Implemented using the OSSIE Core Framework Deployed on a TI OMAP Processor Masters Thesis submitted to Virginia Polytechnic Institute and State University A Reconfigurable and Hierarchical Parallel Processing Architecture: Performance Results for Stereo Vision Parallel FFT Algorithms on Network-on-Chips Year of publication, Proc IEEE Conf. on Field Programmable Logic and its Applications (FPL) 10th International Conference on Pattern Recognition Proceedings Volume ii Fifth International Conference on Information Technology: New Generations Pages.1087-1093 Fast Parallel FFT on a Reconfigurable Computation Platform " 15th Symposium on Computer Architecture and High Performance Computing Proceedings. Volume , Issue, pp.61-70, 1990.

H. Guo and A. R. Rhiemeier, The realization of FFT Algorithm based on FPGA Co-processor Page(s). 293-243 Benefits and Limits of Parameterized Channel Coding for Software Radio, Second International Symposium on Intelligent Information Technology Application Proceedings of the 2nd Karlsruhe Workshop on Software Radios FFT: A Basic Function for a Reconfigurable Receiver, pp.107-112, 2002.

T. Conference, F. C. Moy, J. Palicot, V. Rodriguez, D. T. Giri-]-s et al., Optimal Determination of Common Operators for Multi-Standard Software Defined Radio Two Scenarios of Flexible Multi-Standard Architecture Designs using a Multi-Granularity Exploration Promising Technique of Parameterization For Recongurable Radio, the Common Operators Technique: Fundamentals and Examples, 4th Karlsruhe Workshop on Software Radios The 18th Annual IEEE International Symposium on Personal, Indoor and Mobile Radio Communications (PIMRC " 07) [89] L. Alaus DOI 10, pp.22-23, 1007.

D. Alaus, J. Noguet, and . Palicot, A reconfigurable linear feedback shift register for software radio terminalFPGA implementation of a re-configurable FFT for multistandard systems in software radio context Alaus and D. Noguet, A common operator for FFT and Viterbi algorithms Memory-efficient FFT architecture using R-LFSR based CORDIC common operator, 13th Euromicro Conference on Digital System Design 2nd International Workshop on Cognitive Information Processing, pp.950-958, 2005.

]. S. Nishijima, M. Saito, I. Sugiyama, Z. Miljanic, I. Seskar et al., Single-Chip Baseband Signal Processor for Software- Defined Radio The WINLAB Network centric Cognitive Radio Hardware Platform ? WiNC2R Cognitive Radio baseband processing on a reconfigurable platform A software radio for second and third generation mobile systems, Reconfigurable Platform for Cognitive Radio " , 2 nd International Conference on Mobile Technology, Applications and Systems, pp.240-247533, 2002.

F. K. Jondral, A. Wiesler, and R. Machauer, A Software Defined Radio structure for 2 nd and 3 rd generation mobile communications standards, th IEEE International Symposium on Spread Spectrum Techniques and Applications, pp.637-640, 2000.

A. Wiesler, H. Schober, R. Machauer, and F. K. , Software radio structure for UMTS and second generation mobile communication systems, Gateway to 21st Century Communications Village. VTC 1999-Fall. IEEE VTS 50th Vehicular Technology Conference (Cat. No.99CH36324), pp.939-942, 1999.
DOI : 10.1109/VETECF.1999.798468

J. P. Delahaye, J. Palicot, and P. Leray, A hierarchical modeling approach in software defined radio system design, IEEE Workshop on Signal Processing Systems Design and Implementation, 2005., pp.42-47, 2005.
DOI : 10.1109/SIPS.2005.1579836

URL : https://hal.archives-ouvertes.fr/hal-00773511

M. L. Dickens, B. P. Dunn, and J. N. Laneman, Design and Implementation of a Portable Software Radio, IEEE Communications Magazine, vol.46, issue.8, 2008.
DOI : 10.1109/MCOM.2008.4597105

T. Kohonen, The Self-Organizing Map, Proceedings of the IEEE, 1990.

S. Haykin, Neural Networks: A Comprehensive Foundation (2ed) Prentice hall, 1998.

R. Natowicz, Kohonen " s Self-Organizing Maps for Contour Segmentation of Gray Level and Colour Images Year of publication, Proceedings of the International Workshop on Artificial Neural Networks Computation. Pages, pp.890-8973, 1995.

K. Tsagkaris, A. Katidiotis, and P. Demestichas, Enhancing Learning in Cognitive Radio Systems by means of Artificial Neural Networks, Poster Paper: Proceedings of ICST-Mobile Summit Conference, 2009.

T. R. Newman, B. A. Barker, A. M. Wyglinski, A. Agah, J. B. Evans et al., Cognitive engine implementation for wireless multicarrier transceivers, Wireless Communications and Mobile Computing, vol.496, issue.9, 2006.
DOI : 10.1002/wcm.486

M. Van, S. Schaar, and . Shankar, Cross-layer wireless multimedia transmission: challenges, principles and new paradigms, IEEE Wireless Communications, 2005.

T. W. Rondeau, Cognitive Radio Formulation and Implementation, 2006 1st International Conference on Cognitive Radio Oriented Wireless Networks and Communications, 2006.
DOI : 10.1109/CROWNCOM.2006.363476

N. Baldo and M. Zorzi, Fuzzy Logic for Cross-layer Optimization in Cognitive Radio Networks, IEEE Communications Magazine, vol.46

F. Ge, Q. Chen, Y. Wang, and C. W. Bostain, Cognitive Radio: From Spectrum Sharing to Adaptive Learning and Reconfiguration, 2008 IEEE Aerospace Conference, 2008.
DOI : 10.1109/AERO.2008.4526372

I. A. Akbar and W. H. Tranter, Dynamic spectrum allocation in cognitive radio using hidden Markov models: Poisson distributed case, Proceedings 2007 IEEE SoutheastCon
DOI : 10.1109/SECON.2007.342884

J. C. Dunat, Allocation Opportuniste de spectre pour les radios cognitives, 2006.
URL : https://hal.archives-ouvertes.fr/pastel-00001770

Z. Zhang, Intelligent cognitive radio: Research on learning and evaluation of CR based on Neural Network, 2007 ITI 5th International Conference on Information and Communications Technology, pp.1-4244, 2007.
DOI : 10.1109/ITICT.2007.4475612

A. F. Cattoni, M. Ottonello, M. Raffetto, and C. S. Regazzoni, Neural Networks Mode Classification based on Frequency Distribution Features, 2007 2nd International Conference on Cognitive Radio Oriented Wireless Networks and Communications, 2007.
DOI : 10.1109/CROWNCOM.2007.4549806

T. Clancy and A. Khawar, Security threats to signal classifiers using self-organizing maps, 2009 4th International Conference on Cognitive Radio Oriented Wireless Networks and Communications
DOI : 10.1109/CROWNCOM.2009.5189050

K. Tsagkaris, A. Katidiotis, and P. Demestichas, Neural network-based learning schemes for cognitive radio systems, Computer Communications, vol.31, issue.14, pp.3394-3404, 2008.
DOI : 10.1016/j.comcom.2008.05.040

N. Baldo and M. Zorzi, Learning and Adaptation in Cognitive Radios Using Neural Networks, 2008 5th IEEE Consumer Communications and Networking Conference, 2008.
DOI : 10.1109/ccnc08.2007.229

N. Baldo, B. R. Tamma, B. S. Manoj, R. Rao, and M. Zorzi, A Neural Network Based Cognitive Controller for Dynamic Channel Selection, 2009 IEEE International Conference on Communications, 2009.
DOI : 10.1109/ICC.2009.5198636

B. Ustundab and O. Orcay, Pattern Based Encoding for Cognitive Communication, IEEE CROWNCOM, 2008.

O. Orcay and B. Ustundab, Pattern recognition in cognitive communication, 2008 23rd International Symposium on Computer and Information Sciences, 2008.
DOI : 10.1109/ISCIS.2008.4717870

L. Bixio, M. Ottonello, H. Sallam, M. Raffetto, and C. Regazzoni, Signal classification based on spectral redundancy and neural network ensembles, 2009 4th International Conference on Cognitive Radio Oriented Wireless Networks and Communications, 2009.
DOI : 10.1109/CROWNCOM.2009.5189036

R. Hachemani, J. Palicot, and C. Moy, A New Standard Recognition Sensor for Cognitive Radio terminals, 15th European Signal Processing Conference, 2007.

C. Roland and J. Palicot, A New Concept for Wireless Reconfigurable Receiver, IEEE Com Mag, 2003.

M. Gandztto, A. F. Cattoni, M. Musso, and C. S. Regazzoni, Distributed Cooperative Mode Identification For Cognitive Radio Mode Applications " XXVIIIth General Assembly of International URSI October, pp.23-29, 2005.

M. E. Sahin and H. Arslan, System Design for Cognitive Radio Communications, 1st International Conference on Cognitive Radio Oriented Wireless Networks and Communications, 2006.

J. M. Kim, S. H. Sohn, N. Han, G. Zheng, Y. M. Kim et al., Cognitive Radio Software Testbed using Dual Optimization in Genetic Algorithm, 2008 3rd International Conference on Cognitive Radio Oriented Wireless Networks and Communications (CrownCom 2008), 2008.
DOI : 10.1109/CROWNCOM.2008.4562484

N. Muhammad, K. Khalfallah, R. Knopp, and R. Pacalet, Reconfigurable DSP Architectures for SDR Applications, 2007 14th IEEE International Conference on Electronics, Circuits and Systems, 2007.
DOI : 10.1109/ICECS.2007.4511154

M. Ali, C. Cavuslu, S. Karakuzu, and . Sahin, Neural Network Hardware Implementation using FPGA, pp.287-290

C. T. Huitzil, B. Girau, and A. Gauffriau, Hardware/Software Codesign for Embedded Implementation of neural Networks

A. D. Rast, X. Khan, L. A. Jin, S. B. Plana, and . Furber, A universal abstract-time platform for real-time neural networks, 2009 International Joint Conference on Neural Networks, 2009.
DOI : 10.1109/IJCNN.2009.5179067

V. Valenta, R. Marsalek, G. Baudoin, M. Villegas, M. Suarez et al., Survey on spectrum utilization in Europe: Measurements, analyses and observations, Proceedings of the 5th International ICST Conference on Cognitive Radio Oriented Wireless Networks and Communications, 2010.
DOI : 10.4108/ICST.CROWNCOM2010.9220

URL : https://hal.archives-ouvertes.fr/hal-00492021

M. Islam, Spectrum Survey in Singapore: Occupancy Measurements and Analyses, 2008 3rd International Conference on Cognitive Radio Oriented Wireless Networks and Communications (CrownCom 2008), 2008.
DOI : 10.1109/CROWNCOM.2008.4562457

H. Arslan, Cognitive Radio, Software Defined Radio, and Adaptive Wireless Systems, pp.978-979, 2007.
DOI : 10.1007/978-1-4020-5542-3

J. Elman, Finding Structure in Time, Cognitive Science, vol.49, issue.2, pp.179-211, 1990.
DOI : 10.1207/s15516709cog1402_1

T. Kolarik and G. Rudorfer, Time Series Forecasting Using Neural Networks, Proceedings of the International Conference on APL: The language and its applications. Pages 86-94, 1994.

M. Han, J. Xi, S. Xu, and F. Yin, Prediction of Chaotic Time Series Based on the Recurrent Predictor Neural Network, IEEE Transactions on Signal Processing, vol.52, issue.12, pp.3409-3416, 2004.
DOI : 10.1109/TSP.2004.837418

Q. Zhao and B. M. Sadler, A Survey of Dynamic Spectrum Access, IEEE Signal Processing Magazine, vol.24, issue.3, pp.79-89, 2007.
DOI : 10.1109/MSP.2007.361604

Q. Zhao, Spectrum Opportunity and Interference Constraint in Opportunistic Spectrum Access, 2007 IEEE International Conference on Acoustics, Speech and Signal Processing, ICASSP '07, pp.605-608, 2007.
DOI : 10.1109/ICASSP.2007.366752

Q. Zhao, W. Ren, and A. Swami, Spectrum Opportunity Detection: How Good Is Listen-before-Talk?, 2007 Conference Record of the Forty-First Asilomar Conference on Signals, Systems and Computers, pp.767-771, 2007.
DOI : 10.1109/ACSSC.2007.4487320

H. S. Chen, W. Gao, and D. G. Daut, Signature Based Spectrum Sensing Algorithms for IEEE 802.22 WRAN, 2007 IEEE International Conference on Communications, pp.6487-6492, 2007.
DOI : 10.1109/ICC.2007.1073

H. Urkowitz, Energy detection of unknown deterministic signals, Proceedings of the IEEE, vol.55, issue.4, pp.523-531, 1967.
DOI : 10.1109/PROC.1967.5573

Y. Wen-jing, Z. Bao-yu, and M. Qing-min, Cyclostationary Property Based Spectrum Sensing Algorithms for Primary Detection in Cognitive Radio Systems, J.Shanghai Jiaotong Univ. (Sci), issue.6, pp.14676-680, 2009.

S. M. Mishra, R. W. Brodersen, S. T. Brink, and R. Mahadevappa, Detect and avoid: an ultra-wideband/WiMAX coexistence mechanism [Topics in Radio Communications], IEEE Communications Magazine, vol.45, issue.6, pp.68-75, 2007.
DOI : 10.1109/MCOM.2007.374435

P. Papadimitratos, S. Sankaranarayanan, and A. Mishra, A bandwidth sharing approach to improve licensed spectrum utilization, IEEE Communications Magazine, vol.43, issue.12, pp.10-14, 2005.
DOI : 10.1109/MCOM.2005.1561918

Z. Tian and G. B. Giannakis, A Wavelet Approach to Wideband Spectrum Sensing for Cognitive Radios, 2006 1st International Conference on Cognitive Radio Oriented Wireless Networks and Communications, 2007.
DOI : 10.1109/CROWNCOM.2006.363459

S. M. Kay, Fundamentals of Statistical Signal Processing: Detection Theory, 1998.

Y. Lin and C. He, Subsection-Average Cyclostationary Feature Detection in Cognitive Radio, on Neural Networks and Signal Processing, 2008.

J. G. Proakis, Digital Signal Processing:Prnciples, Algorithms and Applications, pp.978-0131873742

R. Chen and R. S. Tsay, Nonlinear Additive ARX Models, Journal of the American Statistical Association, vol.31, issue.423, pp.955-967, 1993.
DOI : 10.2307/2347612

N. Tran and A. Reed, ARIMA time series modeling and forecasting for adaptive I/O prefetching, Proceedings of the 15th international conference on Supercomputing , ICS '01, pp.473-485, 2001.
DOI : 10.1145/377792.377905

M. Deshpande and G. Karipys, Selective Markov Models for predicting web pages access, ACM Trans Internet Technol, pp.163-184, 2004.
DOI : 10.1137/1.9781611972719.4

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.101.3594

M. Basso, L. Giarre, S. Groppi, and G. Zappa, NARX models of an industrial power plant gas turbine, IEEE Transactions on Control Systems Technology, vol.13, issue.4, 2005.
DOI : 10.1109/TCST.2004.843129

T. Lin, C. L. Giles, B. Horne, and S. Y. Kung, A Delay Damage Model Selection Algorithm for NARX Neural Networks, IEEE Transaction on signal processing, vol.45, issue.11, 1997.

A. G. Parlos, O. T. Rais, and A. F. Atiya, Multi-step-ahead prediction using dynamic recurrent neural networks, Neural Networks, vol.13, issue.7, pp.765-786, 2000.
DOI : 10.1016/S0893-6080(00)00048-4

A. J. Owens, Empirical modeling of very large data sets using neural networks, Proceedings of the IEEE-INNS-ENNS International Joint Conference on Neural Networks. IJCNN 2000. Neural Computing: New Challenges and Perspectives for the New Millennium, pp.302-307, 2000.
DOI : 10.1109/IJCNN.2000.859413

R. Bakker, J. C. Schouten, C. Van-den-bleek, and C. L. Giles, Neural learning of chaotic dynamics: the error propagation algorithm, 1998 IEEE International Joint Conference on Neural Networks Proceedings. IEEE World Congress on Computational Intelligence (Cat. No.98CH36227), p.2483, 1998.
DOI : 10.1109/IJCNN.1998.687252

Y. Chen, B. Yang, J. Dong, and A. Abraham, Time series forecasting using flexible neural tree model Locally recurrent neural networks for long term speed and power prediction, Barbounis, J.B.Teocharis Neurocomputing, vol.174, issue.69, pp.219-235, 2005.

K. Cho and B. Wang, Radial basis function based adaptive fuzzy systems and their application to system identification and prediction. Fuzzy sets, Syst, vol.83, pp.325-339, 1996.

H. Leung, T. Lo, and W. S. , Prediction of noisy chaotic time series using an optimal radial basis function neural network, IEEE Transactions on Neural Networks, vol.12, issue.5, pp.1163-1172, 2001.
DOI : 10.1109/72.950144

J. C. Principe, L. Wang, and M. Motter, Local dynamic modeling with self-organizing maps and applications to nonlinear system identification and control, Proceedings of the IEEE, vol.86, issue.11, 1998.
DOI : 10.1109/5.726789

D. R. Cox, The regression analysis of binary sequences, Journal of Royal Statistical Society. Series B, vol.20, issue.2, pp.215-242, 1958.

A. Ranganathan, The Levenberg-Marquardt Algorithm, Tutoral on LM Algorithm, 2004.

H. Demuth and M. Beale, Neural Network Toolbox " for use with MATLAB. User " s guide

T. C. Clancy and B. D. Walker, Predictive Dynamic Spectrum Access, SDR Forum Conference, 2006.

Z. Wang and S. Salous, Time Series ARIMA Model of Spectrum Occupancy for Cognitive Radio, IET Seminar on Cognitive Radio and Software Defined Radios, 2008.

Z. Wang and S. Salous, Spectrum Occupancy Statistics and Time Series Models for Cognitive Radio, Journal of Signal Processing Systems, vol.145, issue.3, pp.145-155
DOI : 10.1007/s11265-009-0352-5

M. Casdagli, Nonlinear prediction of chaotic time series, Physica D: Nonlinear Phenomena, vol.35, issue.3, pp.335-356, 1989.
DOI : 10.1016/0167-2789(89)90074-2

Z. Chen and R. C. Qiu, Prediction of channel state for cognitive radio using higher-order hidden Markov model, Proceedings of the IEEE SoutheastCon 2010 (SoutheastCon), 2010.
DOI : 10.1109/SECON.2010.5453870

C. H. Park, S. W. Kim, S. M. Lim, and M. S. Song, HMM Based Channel Status Predictor for Cognitive Radio, 2007 Asia-Pacific Microwave Conference, pp.1-4, 2007.
DOI : 10.1109/APMC.2007.4554696

S. Yarkan and H. Arslan, Binary Time Series Approach to Spectrum Prediction for Cognitive Radio, 2007 IEEE 66th Vehicular Technology Conference, pp.1563-1567, 2007.
DOI : 10.1109/VETECF.2007.332

V. K. Tumuluru, P. Wang, and D. Niyato, A Neural Network Based Spectrum Prediction Scheme for Cognitive Radio, 2010 IEEE International Conference on Communications, 2010.
DOI : 10.1109/ICC.2010.5502348

H. Harada, A Software Defined Cognitive Radio Prototype, 2007 IEEE 18th International Symposium on Personal, Indoor and Mobile Radio Communications, 2007.
DOI : 10.1109/PIMRC.2007.4394718

J. Mitola, The Software radio Architecture, IEEE Communication Magazine, 1995.

P. Pawalczak, Technical Challenges of Cognitive Radio-Related Systems " CRNI Conference :Radio Spectrum Management, 2008.

M. I. Best-paper-award, O. Taj, K. Hammami, and . Huggins, Performance Evaluation of SDR on embedded platform: The case of OSSIE, The 2nd IEEE International Conference on Computer, Communications and Control, 2009.

M. I. Taj, K. Huggins, and O. Hammami, OSSIE Signal Processing Functions Performance Enhancements through Parallelization in an embedded multiprocessor architecture, Software Defined Radio Technical Conference and Product Exposition, 2009.

M. I. Taj, O. Hammami, and M. Akil, SDR waveform components implementation on single FPGA multiprocessor platform, 2010 17th IEEE International Conference on Electronics, Circuits and Systems, 2010.
DOI : 10.1109/ICECS.2010.5724631

M. I. Taj, M. Akil, and O. Hammami, Standard Recognizing Self Organizing Map based Cognitive Radio Transceiver, The 5th IEEE International Conference on Cognitive Radio Oriented Wireless Networks and Communications, 2010.

M. I. Taj and M. Akil, Standard Recognizing Artificial Neural Networks Based Reconfigurable Cognitive Radio Transceiver and its Embedded Implementation, IET Journal: Special issue on Cognitive Communications. Under Review

M. I. Taj and M. Akil, Cognitive Radio Spectrum Evolution Prediction using Artificial Neural Networks based Multivariate Time Series Modelling, The 17 th IEEE European Wireless Conference, 2011.

M. I. Taj and M. Akil, Cognitive Radio Multiple-step ahead Spectrum Evolution Prediction using Artificial Neural Networks based Multivariate Time Series Modelling, Journal of Signal and Information Processing