J. Journaux, J. Torras-flaquer, L. A. Daveau, P. Naviner, and . Roche, Fast reliability analysis of combinatorial logic circuits using conditional probabilities, Microelectronics Reliability Journal, vol.50, issue.911, pp.1215-1218, 2010.

J. Conférences, J. Torras-flaquer, L. A. Daveau, P. Naviner, and . Roche, An approach to reduce computational cost in combinatorial logic netlist reliability analysis using circuit clustering and conditional probabilitiesHandlind reconvergent paths using conditional probabilities in combinatorial logic netlist reliability estimation, 17th International On Line Test Symposium (IOLTS) 17th IEEE International Conference on electronics, Circuits and Systems (ICECS), pp.98-103, 2010.

J. T. Flaquer, J. Daveau, L. A. Naviner, and P. Roche, Fast reliability analysis of combinatorial logic circuits using conditional probabilities, 21st European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF), 2010.
DOI : 10.1016/j.microrel.2010.07.058

J. Brevets, J. Torras-flaquer, L. A. Daveau, P. Naviner, and . Roche, Method for estimating the reliability of an electronic circuit, corresponding computerized system and computer program product

*. Spr_z_sprmp=spr_zsprmp+spr_z_aux and *. Wb, wc*wd*wf*wg*wi*wj; end; end; end; end; end; end; end; end

. Spr_e_aux, 1)=CPM_E(3,e)

. Spr_h_aux, 1)=CPM_H(3,e)

. Spr_ij=spr_ij+kron, SPR_f_aux,SPR_I)*wa*wb*wj; end; end; end; for c=1:4 [XX, wc]=matrix_decorrelated(SPR_C,c)

G. E. Moore, Cramming more components onto integrated circuits Electron, pp.114-117, 1965.
DOI : 10.1109/jproc.1998.658762

D. T. Franco, J. F. Naviner, and L. Naviner, Rendement et Fiabilit?? dans les Technologies Nano??lectroniques, annals of telecommunications - annales des t??l??communications, vol.12, issue.10, pp.1422-1457, 2006.
DOI : 10.1007/BF03219903

M. R. Stan, P. D. Franzon, S. C. Goldstein, J. C. Lach, and M. M. Ziegler, Molecular electronics: from devices and interconnect to circuits and architecture, Proceedings of the IEEE, pp.1940-1957, 2003.
DOI : 10.1109/JPROC.2003.818327

M. A. Breuer, S. K. Gupta, and T. M. , Mak Defect and error tolerance in then presence of massive number of defects, Design and Test for, Computers IEEE, vol.23, pp.216-227, 2004.

S. Bokar, T. Karnik, S. Narendra, J. Tschanz, and A. , Keshavarzi Parameter Variations and impact on circuits and microarchitecture, Proceedings Design Automation Conference, pp.338-342, 2003.

P. Hazucha and C. Svensson, Impact of CMOS technology scaling on the atmospheric neutron soft error rate, IEEE Transactions on Nuclear Science, vol.47, issue.6, pp.2586-2594, 2000.
DOI : 10.1109/23.903813

Y. Zorian, Nanoscale Design & Test Challenges, Computer, vol.38, issue.2, pp.36-39, 2005.
DOI : 10.1109/MC.2005.67

R. Baumann, Soft Errors in Advanced Computer Systems, IEEE Design and Test of Computers, vol.22, issue.3, pp.259-266, 2005.
DOI : 10.1109/MDT.2005.69

R. Baumann, The impact of technology scaling on soft error rate performance and limits to the ecacy of error correction, Electron Devices Meeting (IEDM), pp.329-332, 2002.

J. A. Carballo and S. R. , Impact of design-manufacturing interface on SoC design methodologies, IEEE Design and Test of Computers, vol.21, issue.3, pp.183-191, 2004.
DOI : 10.1109/MDT.2004.13

W. Schemmert and G. Zimmer, Threshold-voltage sensitivity of ion-implanted m.o.s. transistors due to process variations, Electronics Letters, vol.10, issue.9, pp.151-152, 1974.
DOI : 10.1049/el:19740115

B. Laszlo and . Kish, End of moore's law : Thermal (noise) death of integration in micro and nano electronics, Physics Letters A, vol.305, pp.144-149, 2002.

N. Seifert, Radiation-Induced Soft Error Rates of Advanced CMOS Bulk Devices, 2006 IEEE International Reliability Physics Symposium Proceedings, pp.217-225, 2006.
DOI : 10.1109/RELPHY.2006.251220

C. E. Ebeling, An introduction to Reliability and Maintanability Engineering, 1997.

D. Prasad, Dependability terminology : A comparative study, 1994.

M. Rausand and A. Hoyland, System Reliability Theory : Models, Satistical methods and applications, 2004.
DOI : 10.1002/9780470316900

H. E. Ascher, Evaluation of Repairable System Reliability Using the ``Bad-As-Old'' Concept, IEEE Transactions on Reliability, vol.17, issue.2, pp.103-110, 1968.
DOI : 10.1109/TR.1968.5217523

M. H. Woods, Reliability in MOS integrated circuits, International Electron Devices Meeting, pp.50-55, 1984.

K. E. Portz and H. R. Smith, Method for the Determination of Reliability, IRE Transactions on Reliability and Quality Control, vol.11, pp.65-73, 1957.
DOI : 10.1109/IRE-PGRQC.1957.5007147

N. Sirisantana, B. C. Paul, and K. , Enhancing yield at the end of the technology roadmap, IEEE Design and Test of Computers, vol.21, issue.6, pp.563-571, 2004.
DOI : 10.1109/MDT.2004.86

Y. Zorian, D. Gizopoulos, C. Vandenberg, and P. Magarshack, Guest editors' introduction : Design for yield and reliability, Design and Test of Computers, 2004.

C. Constantinescu, Trends and challenges in VLSI circuit reliability, Micro, IEEE, vol.23, pp.14-19, 2003.
DOI : 10.1109/mm.2003.1225959

S. Mukherjee, Architecture Design for Soft Errors, 2008.

R. C. Baumann, Radiation-induced soft errors in advanced semiconductor technologies, IEEE Transactions on Device and Materials Reliability, vol.5, issue.3, pp.305-316, 2005.
DOI : 10.1109/TDMR.2005.853449

N. Miskov-zivanov and D. , Marculescu Formal modelling and reasoning for reliability analysis, IEEE Design Automation Conference (DAC), pp.531-536, 2010.
DOI : 10.1145/1837274.1837406

S. Borkar, Tackling variability and reliability challenges, IEEE Design and Test of Computers, vol.23, issue.6, 2005.
DOI : 10.1109/MDT.2006.156

D. Miskov-zivanov, Circuit Reliability Analysis Using Symbolic Techniques, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.25, issue.12, pp.2638-2639, 2006.
DOI : 10.1109/TCAD.2006.882592

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.70.8964

P. Shivakumar, M. Kistler, W. Keckler, D. Burger, and L. Alvisi, Modeling the eect of technology trends on the soft error rate of combinational logic, International Conference on Dependable Systems and Networks, pp.389-398, 2002.

G. Hubert, Elaboration d'une methode de prediction du taux d'aleas logiques induits dans une memoire SRAM par des neutrons atmospheriques, Thèse de Doctorat, 2001.

D. P. Sewiorek, Reliability Modelling of Compensating Module Failures in Majority Voted Redundancy, IEEE Transactions on Computers, issue.5, pp.525-533, 1975.

S. Ghosh, N. A. Touba, and S. Basu, Synthesis of Low Power CED Circuits Based on Parity Codes, 23rd IEEE VLSI Test Symposium (VTS'05), pp.315-320, 2005.
DOI : 10.1109/VTS.2005.80

P. J. Meaney, S. B. Swaney, P. N. Sanda, and L. Spainhower, IBM z990 soft error detection and recovery, IEEE Transactions on Device and Materials Reliability, vol.5, issue.3, pp.419-427, 2005.
DOI : 10.1109/TDMR.2005.859577

P. K. Lala and A. , Walker On-line error detectable carry-free adder design, Proceedings Defect and Fault tolerant in VLSI systems, pp.66-71, 2001.

M. Mishra and S. C. Goldstein, Defect Tolerance at the end of the road map, Proceeedings Test Conference, pp.1201-1210, 2003.

N. Bidokhti, SEU concept to reality (allocation, prediction, mitigation), 2010 Proceedings, Annual Reliability and Maintainability Symposium (RAMS), 2010.
DOI : 10.1109/RAMS.2010.5448078

P. E. Dodd and L. W. Massengill, Basic mechanisms and modeling of single-event upset in digital microelectronics, IEEE Transactions on Nuclear Science, vol.50, issue.3, pp.583-602, 2003.
DOI : 10.1109/TNS.2003.813129

R. K. Iyer and D. Tang, Experimental analysis of Computer System Dependability, Fault- Tolerant Computer System Design, 1996.

P. Civera, L. Macchiarulo, M. Rebaudengo, M. Sonza-reorda, and M. Violante, Exploiting FPGA-based techniques for fault injection campaigns on VLSI circuits, Proceedings 2001 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, pp.250-258, 2001.
DOI : 10.1109/DFTVS.2001.966777

M. G. Valderas, M. P. Garcia, R. Fernandez-cardenal, C. Lopez-ongil, and L. Entrena, Advanced Simulation and Emulation Techniques for Fault Injection, 2007 IEEE International Symposium on Industrial Electronics, 2007.
DOI : 10.1109/ISIE.2007.4375151

J. M. Daveau, A. Blampey, G. Gasiot, J. Bulone, and P. , Roche An industrial fault injection platform for soft-error dependability analysis and hardening of complex system-on-achip, IEEE International Reliability Physics Symposium, 2009.

C. Grinstead and J. , Introduction to probability, 1997.

S. Amarel and J. A. Brzozowski, Theoretical considerations on reliability properties of recursive triangular switch networks, Redundancy Techniques for computing systems, 1962.

J. Neumann, Probabilistic logics and the synthesis of reliable organisms from unreliable components Automata Studies, Annals of Mathematics Studies), pp.43-98, 1956.

E. J. Mccluskey and E. F. Clegg, Fault Equivalence in Combinational Logic Networks, IEEE Transactions on Computers, vol.20, issue.11, pp.1286-1293, 1971.
DOI : 10.1109/T-C.1971.223129

C. Roy and . Ogus, The probability of a Correct Output from a Combinational Circuit, IEEE Transactions on Computers, issue.24, pp.534-544, 1975.

K. P. Parker and E. J. Mccluskey, Probabilistic Treatement of general combinational networks, IEEE Transactions on Computers, vol.24, pp.668-670, 1975.

K. P. Parker and E. J. Mccluskey, Analysis of logic circuits with faults using input signal probabilities, IEEE Transactions on Computers, issue.24, pp.573-578, 1975.

I. Koren, Analysis of the Signal Reliability Measure and an Evaluation Procedure, IEEE Transactions on Computers, vol.28, issue.3, pp.244-249, 1979.
DOI : 10.1109/TC.1979.1675326

S. P. Doukouzgiannis and J. Kontoleon, Exact reliability analysis of combinational logic circuits, IEEE Transactions on Reliability, vol.37, issue.5, pp.493-500, 1988.
DOI : 10.1109/24.9870

A. Bogliolo, M. Damiani, P. Olivo, and B. Ricó, Reliability Evaluation of Combinational Logic Circuits by Symbolic Simulation, VLSI Test Symposium pp, pp.235-242, 1995.

K. S. Brace, R. Rudell, and R. E. Bryant, Ecient implementation of a BDD package, Proceedings of the Design Automation Conference, pp.40-45, 1990.

M. Omana, D. Rossi, and C. Metra, Model for transient fault susceptibility pf combinational Circuits, Journal of Electronic Testing : theory and applications, vol.20, 2004.

B. Zhang, W. S. Wang, and M. Orshansky, FASER : Fast Analysis of Soft Error Rate susceptibility for cell-based designs, International Symposium on quality electronic Design, 2006.

N. Miskov-zivanov and D. Marculescu, Circuit Reliability Analysis Using Symbolic Techniques, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.25, issue.12, pp.2638-2649, 2006.
DOI : 10.1109/TCAD.2006.882592

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.70.8964

R. Rao, K. Chopra, D. T. Blaauw, and D. M. Sylvester, Computing the Soft Error Rate of a Combinational Logic Circuit Using Parameterized Descriptors, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.26, issue.3, pp.468-479, 2007.
DOI : 10.1109/TCAD.2007.891036

F. Wang and Y. Xie, Soft Error Rate Analysis for Combinational Logic Using an Accurate Electrical Masking Model, IEEE Transactions on Dependable and Secure Computing, vol.8, issue.1, pp.137-146, 2001.
DOI : 10.1109/TDSC.2009.29

L. Entrena, M. Valderas, R. F. Cardenal-;-m, C. L. Garcia, and . Ongil, SET Emulation Considering Electrical Masking Effects, IEEE Transactions on Nuclear Science, vol.56, issue.4, pp.2021-2025, 2009.
DOI : 10.1109/TNS.2009.2013346

F. Wang, Y. Xie, R. Rajaraman, and B. Vaidyanathan, Soft Error Rate Analysis for Combinational Logic Using An Accurate Electrical Masking Model, VLSI Design Conference, pp.165-170, 2007.

M. C. De-vasconcelos, D. T. Franco, L. De, B. Naviner, and J. F. Naviner, Relianility Analsysis of Cpmbinational Circuits Based on a Probabilistic Binomial Model, Proceedings of the 6th Northeast workshop on Circuits and Systems and TAISA conference, pp.310-313, 2008.

J. Han, E. Taylor, J. Gao, and J. Fortes, Faults, Error Bounds and Reliability of Nanoelectronic Circuits, Proceedings of the 16th IEEE Interantional Conference on Application Specic Systems, ARchitecture Processors, pp.247-253, 2005.

J. Han, Reliability evaluation of logic circuits using probabilistic gate models, Microelectronics Reliability, vol.51, issue.2, 2010.
DOI : 10.1016/j.microrel.2010.07.154

T. Rejimon and S. Bhanja, Scalabable Probabilistic Computing Models using Bayesian Networks, Proceedings of the 48th Midwest Symposium on Circuits and Systems, pp.712-715, 2005.
DOI : 10.1109/mwscas.2005.1594200

D. Bhaduri, S. Shukla, P. Graham, and M. Gokhale, Scalable techniques and tools for reliability analysis of logic circuits, 20th International Conference on VLSI Design, pp.705-710, 2007.

M. B. Asadi and . Tahoori, An Accurate SER Estimation Method Based on Propagation Probability, Design, Automation and Test in Europe, pp.306-307, 2005.
DOI : 10.1109/DATE.2005.49

URL : https://hal.archives-ouvertes.fr/hal-00181534

S. Krishnaswamy, G. F. Viamontes, I. Markov, and J. P. Hayes, Accurate Reliability Evaluation and Enhancement via Probabilistic Transfer Matrices, Design, Automation and Test in Europe, pp.282-287, 2005.
DOI : 10.1109/DATE.2005.47

URL : https://hal.archives-ouvertes.fr/hal-00181530

S. Krishnaswamy, G. F. Viamontes, I. Markov, and J. P. Hayes, Probabilistic transfer matrices in symbolic reliability analysis of logic circuits, ACM Transactions on Design Automation of Electronic Systems, vol.13, issue.1, 2008.
DOI : 10.1145/1297666.1297674

M. R. Choudhury and K. Mohanram, Accurate and scalable reliability analysis of logic circuits, 2007 Design, Automation & Test in Europe Conference & Exhibition, pp.1-6, 2007.
DOI : 10.1109/DATE.2007.364503

L. A. Naviner, M. C. De-vasconcelos, D. T. Franco, and J. F. Naviner, Ecient Computation of Logic Circuits Reliability Based on Probabilistic Transfer Matrix, Proceedings of the 2008 International Conference on Design and Technology of Integrated Systems in Nanoscale Era, pp.1-4, 2008.

O. Hasan, J. Patel, and S. Tahar, On the accurate reliability analysis of combinational circuits using theorem proving, Proceedings of the 8th IEEE International NEWCAS Conference 2010, pp.273-276, 2010.
DOI : 10.1109/NEWCAS.2010.5603938

D. T. Franco, M. C. Vasconcelos, L. Naviner, and J. Naviner, Reliability analysis of logic circuits based on signal probability, 2008 15th IEEE International Conference on Electronics, Circuits and Systems, pp.670-673, 2008.
DOI : 10.1109/ICECS.2008.4674942

D. T. Franco, M. C. Vasconcelos, L. Naviner, and J. Naviner, Reliability of logic circuits under multiple simultaneous faults, 2008 51st Midwest Symposium on Circuits and Systems, pp.265-268, 2008.
DOI : 10.1109/MWSCAS.2008.4616787

A. P. Dawid, Conditional Independence in Statistical theory, Journal of the Royal Statistical Society, Series, vol.41, issue.1, pp.1-31, 1979.
DOI : 10.1214/aos/1176345011

URL : http://projecteuclid.org/download/pdf_1/euclid.aos/1176345011

C. C. Yu and J. P. Hayes, Scalable and Accurate Estimation of Probabilistic Behavior in Sequential Circuits , VLSI Test Symposium, pp.165-179, 2010.

C. C. Yu and J. P. Hayes, Trigonometric Method To handle Realistic Error Probabilities in Logic Circuits, Europe Conference (DATE), pp.1-6, 2011.

F. Brglez, P. Pownall, and R. Hum, Application of testability analysis : from ATPG to critical delay path tracing, Proceeding International Test Conference, p.75, 1984.

B. Krishnamurthy and I. G. Tollis, Improved techniques for estimating signal probabilities, Proceedings International Test Conference, p.244, 1986.
DOI : 10.1109/12.30854

S. K. Jain and V. D. , Satistical fault analysis, IEEE Design and Test, p.38, 1985.

S. Ercolani, M. Favalli, M. Damiani, P. Olivo, and B. Ricco, Estimate of signal probability in combinational logic networks, [1989] Proceedings of the 1st European Test Conference, pp.132-138, 1989.
DOI : 10.1109/ETC.1989.36234

J. Savir, G. S. Ditlow, and P. H. Bardell, On Random Pattern Test Length, IEEE Transactions on Computers, vol.33, issue.6, pp.79-90, 1984.
DOI : 10.1109/TC.1984.1676470

G. Markowsky, Bounding Signal Probabilities in Combinational Circuits, IEEE Transactions on Computers, vol.36, issue.10, pp.1247-1251, 1987.
DOI : 10.1109/TC.1987.1676866

R. Kodavarti and D. Ross, Signal probability calculations using partial functional manipulation, Digest of Papers Eleventh Annual 1993 IEEE VLSI Test Symposium, pp.194-200, 1993.
DOI : 10.1109/VTEST.1993.313324

A. Dutta and N. A. Touba, Iterative OPDD Based Signal Probability Calculation, 24th IEEE VLSI Test Symposium, p.77, 2006.
DOI : 10.1109/VTS.2006.43

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.80.9917

J. C. Laprie, Dependable Computing and Fault Tolerant Concepts and Terminology, 25th Symposium on Fault Tolerance Computing, 1995.
DOI : 10.1109/ftcsh.1995.532603

M. Fazeli, Low energy single event upset/single event transient-tolerant latch for deep subMicron technologies, IET Computers and Digital Techniques, pp.289-303, 2009.
DOI : 10.1049/iet-cdt.2008.0099

K. Mohanram and N. A. Touba, Partial error masking to reduce soft error failure rate in logic circuits, Proceedings. 16th IEEE Symposium on Computer Arithmetic, pp.433-440, 2003.
DOI : 10.1109/DFTVS.2003.1250141

S. Baloch, T. Arslan, and A. Stoica, Probability Based Partial Triple Modular Redundancy Technique for Recongurable Architectures, IEEE Aerospace Conference, pp.1-7, 2008.

H. Asadi and M. B. Tahoori, Soft error hardening for logic-level designs, 2006 IEEE International Symposium on Circuits and Systems, 2006.
DOI : 10.1109/ISCAS.2006.1693540

A. K. Nieuwland, S. Jasarevic, and G. Jerin, Combinational Logic Soft Error Analysis and Protection, 12th IEEE International On-Line Testing Symposium (IOLTS'06), 2006.
DOI : 10.1109/IOLTS.2006.17

I. , P. , S. M. Reddy, and B. Becker, Scalable Calculation of Logical Masking Eects for Selective Hardening Against Soft Errors, IEEE Computer Society Annual Symposium on VLSI, pp.257-262, 2008.

K. Bhattacharya and N. Rangahathan, A New Placement Algorithm for Reduction of Soft Errors in Macrocell Based Design of Nanometer Circuits, 2009 IEEE Computer Society Annual Symposium on VLSI, pp.91-96, 2009.
DOI : 10.1109/ISVLSI.2009.37

E. C. Marques, L. A. Naviner, and J. F. Naviner, A Method for Ecient Implementation of Reliable Processors, Proceedings of IEEE International Mid West Symposium on Circuits and Systems, pp.1250-1253, 2010.

L. A. Naviner, J. F. Naviner, T. Bant, and G. G. , Junior Reliability analysis based on signicance, Conference on Micro nano-electronics, Technology and Applications (CM- TA'11), pp.1-7, 2011.

M. Augustin, M. Gossel, and R. Kraemer, Reducing the Area Overhead of TMR-Systems by Protecting specic Signals, Proceedings of the 16th IEEE International On-Line Testing Symposium, pp.268-273, 2010.

R. P. Brent and H. T. Kung, A Regular Layout for Parallel Adders, IEEE Transactions on Computers, vol.31, issue.3, pp.260-264, 1982.
DOI : 10.1109/TC.1982.1675982

K. Iniewski, Radiation eects in semiconductors, 2010.