J. Nakamura, Image sensors and signal processing for digital still cameras
DOI : 10.1201/9781420026856

L. William and . Wolfe, Introduction to infrared system design, 1996.

J. Caniou, Passive infrared detection : theory and applications, 1999.
DOI : 10.1007/978-1-4757-6140-5

H. Ji and P. A. Abshire, A cmos image sensor for low light applications, Circuits and Systems ISCAS 2006. Proceedings. 2006 IEEE International Symposium on, pp.0-0, 2006.

M. Kumar, E. O. Morales, J. E. Adams, and W. Hao, New digital camera sensor architecture for low light imaging, 2009 16th IEEE International Conference on Image Processing (ICIP), pp.2681-2684, 2009.
DOI : 10.1109/ICIP.2009.5414126

J. Wehmeijer and B. Van-geest, High-speed imaging: Image intensification, Nature Photonics, vol.4, issue.3, pp.152-153, 2010.
DOI : 10.1038/nphoton.2010.21

P. Van-der-wolf and T. Henriksson, Video processing requirements on soc infrastructures, pp.1124-1125, 2008.

A. C. Bovik, Handbook of image and video processing, 2005.

S. Hauck and A. Dehon, Reconfigurable Computing : The Theory and Practice of FPGA-Based Computation, 2007.

S. Vassiliadis and D. Soudris, Fine-and Coarse-Grain Reconfigurable Computing, 2007.
DOI : 10.1007/978-1-4020-6505-7

J. Xu, Topological Structure and Analysis of Interconnection Networks, 2001.
DOI : 10.1007/978-1-4757-3387-7

P. Guerrier and A. Greiner, A generic architecture for on-chip packet-switched interconnections, Europe Conference and Exhibition, p.250, 2000.

W. J. Dally and B. Towles, Route packets, not wires: on-chip interconnection networks, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232), pp.684-689, 2001.
DOI : 10.1109/DAC.2001.935594

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.1.5322

W. James, D. , and B. Towles, Principles and Practices of Interconnection Networks, 2004.

N. Kavaldjiev, A run-time reconfigurable Network-on-Chip for streaming DSP applications, 2007.

H. Aghajan and A. Cavallaro, Multi-Camera Networks : Principles and Applications, 2009.

J. Van-der-horst, R. Van-leeuwen, H. Broers, R. Kleihorst, and P. Jonker, A real-time stereo smartcam, using fpga, simd and vliw, Proc. 2nd Workshop on Applications of Computer Vision Austria, pp.1-8, 2006.

T. Teng-yuan-cheng, J. C. Chen, S. Chen, and . Chien, Coarsegrained reconfigurable image stream processor architecture for high-definition cameras and camcorders, SoC Design Conference (ISOCC), 2010 International, pp.95-98, 2010.

N. Ngan, G. Marpeaux, E. Dokladalova, M. Akil, and F. Contou-carrére, Memory System for a Dynamically Adaptable Pixel Stream Architecture, 2010 International Conference on Field Programmable Logic and Applications, 2010.
DOI : 10.1109/FPL.2010.48

URL : https://hal.archives-ouvertes.fr/hal-00622488

N. Ngan and E. Dokladalova, Mohamed Akil, and François Contou-carrere. Dynamically adaptable architecture for real-time video processing, IEEE International Symposium on Circuits and Systems (ISCAS'10), pp.2010-213

D. Litwiller, Ccd vs cmos : Facts and fictions, Photonics Spectra, 2001.

E. R. Fossum, CMOS image sensors: electronic camera-on-a-chip, IEEE Transactions on Electron Devices, vol.44, issue.10, pp.1689-1698, 1997.
DOI : 10.1109/16.628824

B. S. Carlson, Comparison of modern CCD and CMOS image sensor technologies and systems for low resolution imaging, Proceedings of IEEE Sensors, pp.171-176, 2002.
DOI : 10.1109/ICSENS.2002.1037011

B. E. Bayer, Color imaging array, 1976.

D. Alleyson, 30 years of demosaicing, pp.561-581, 2004.

A. Rabner and Y. Shacham-diamand, Electron-Bombarded CMOS Image Sensor in Single Photon Imaging Mode, IEEE Sensors Journal, vol.11, issue.1, pp.186-193, 2011.
DOI : 10.1109/JSEN.2010.2055846

R. Lenggenhager, H. Baltes, J. Peer, and M. Forster, Thermoelectric infrared sensors by cmos technology. Electron Device Letters, IEEE, vol.13, issue.9, pp.454-456, 1992.
DOI : 10.1109/55.192792

C. Marshall, T. Parker, and T. White, Infrared sensor technology, Proceedings of 17th International Conference of the Engineering in Medicine and Biology Society, pp.1715-1716, 1995.
DOI : 10.1109/IEMBS.1995.579906

R. Lukac, Single-sensor imaging in consumer digital cameras: a survey of recent advances and future directions, Journal of Real-Time Image Processing, vol.53, issue.10, pp.45-52, 2006.
DOI : 10.1007/s11554-006-0003-z

R. S. Blum and Z. Liu, Multi-Sensor Image Fusion and Its Applications, CRC, 2005.

T. Stathaki, Image Fusion : Algorithms and Applications, 2008.

C. Hennequin, Etude et réalisation d'un calculateur temps réel embarqué pour la détection de petits objets dans des séquences d'images multi-´ echelles, 2008.

F. Yang and M. Paindavoine, Implementation of an rbf neural network on embedded systems: real-time face tracking and identity verification, IEEE Transactions on Neural Networks, vol.14, issue.5, pp.1162-1175, 2003.
DOI : 10.1109/TNN.2003.816035

N. Farrugia, Architecturesparalì eles pour l'analyse de visages embarquée, 2008.

F. Heitz and P. Bouthemy, Motion estimation and segmentation using a global Bayesian approach, International Conference on Acoustics, Speech, and Signal Processing, pp.2305-2308, 1990.
DOI : 10.1109/ICASSP.1990.116039

S. Khaskelman, Présentation d'un cha??necha??ne de traitements vidéos (cours ensta, 2008.

R. Hui-xin-zhou, . Lai, G. Shang-qian-liu, and . Jiang, New improved nonuniformity correction for infrared focal plane arrays, Optics Communications, vol.245, issue.1-6, pp.49-53, 2005.
DOI : 10.1016/j.optcom.2004.09.077

H. Phelippeau, Méthodes et algorithmes de dématriçage et de filtrage du bruit pour la photographie numérique, 2009.

A. Buades, B. Coll, and J. M. , A Review of Image Denoising Algorithms, with a New One, Multiscale Modeling & Simulation, vol.4, issue.2, pp.490-530, 2005.
DOI : 10.1137/040616024

URL : https://hal.archives-ouvertes.fr/hal-00271141

F. Durand and J. Dorsey, Fast bilatral filtering for the display of highdynamic-range images, 2002.

F. Jin, P. Fieguth, L. Winger, and E. Jernigan, Adaptive Wiener filtering of noisy images and image sequences, Proceedings 2003 International Conference on Image Processing (Cat. No.03CH37429), pp.349-52, 2003.
DOI : 10.1109/ICIP.2003.1247253

J. Fan and J. Koo, Wavelet deconvolution. Information Theory, IEEE Transactions on, vol.48, issue.3, pp.734-747, 2002.

W. Hadley-richardson, Bayesian-Based Iterative Method of Image Restoration*, Journal of the Optical Society of America, vol.62, issue.1, pp.55-59, 1972.
DOI : 10.1364/JOSA.62.000055

W. Wang, B. Li, J. Zheng, S. Xian, and J. Wang, A fast Multi-Scale Retinex algorithm for color image enhancement, 2008 International Conference on Wavelet Analysis and Pattern Recognition, pp.80-85, 2008.
DOI : 10.1109/ICWAPR.2008.4635754

K. H. Abas, O. Ono, and Z. Ibrahim, Enhancement of infrared-based image identification system for security robots by image decomposition, 2009 4th International Conference on Autonomous Robots and Agents
DOI : 10.1109/ICARA.2000.4803977

H. Kim, S. Park, J. Wang, Y. Kim, and J. Jeong, Advanced Bilinear Image Interpolation Based on Edge Features, 2009 First International Conference on Advances in Multimedia, pp.33-36, 2009.
DOI : 10.1109/MMEDIA.2009.14

J. Prades-nebot, A. Albiol, and C. Bachiller, Enhanced B-Spline interpolation of images, Proceedings 1998 International Conference on Image Processing. ICIP98 (Cat. No.98CB36269), pp.289-293, 1998.
DOI : 10.1109/ICIP.1998.727201

M. Unser, A. Aldroubi, and M. Eden, Fast b-spline transforms for continuous image representation and interpolation. Pattern Analysis and Machine Intelligence, IEEE Transactions on, vol.13, issue.3, pp.277-285, 1991.

S. Park, M. K. Park, and M. Kang, Super-resolution image reconstruction: a technical overview, IEEE Signal Processing Magazine, vol.20, issue.3, pp.21-36, 2003.
DOI : 10.1109/MSP.2003.1203207

S. Chikamatsu, T. Nakaya, M. Kouda, N. Kuroki, T. Hirose et al., Superresolution technique for thermography with dual-camera system, Circuits and Systems (ISCAS Proceedings of 2010 IEEE International Symposium on, pp.1895-1898, 2010.
DOI : 10.1109/iscas.2010.5537929

V. Petrovic and T. Cootes, Objectively Optimised Multisensor Image Fusion, 2006 9th International Conference on Information Fusion, pp.1-7, 2006.
DOI : 10.1109/ICIF.2006.301683

A. Meijster, Efficient Sequential and Parallel Algorithms for Morphological Image Processing, 2004.

N. Ngan, F. Contou-carrère, B. Marcon, S. Guerin, E. Dokládalova et al., Efficient hardware implementation of connected component tree algorithm, Workshop on Design and Architectures For Signal and Image Processing, 2007.
URL : https://hal.archives-ouvertes.fr/hal-00622340

N. Ngan and E. Dokladalova, Mohamed Akil, and Francois Contou-Carrere. Fast and efficient fpga implementation of connected operators, Journal of Systems Architecture, 2009.

S. Zahirazami, Architecture Reconfigurable : Conception et Evaluation d'un système reconfigurable pour le traitement bas niveau d'images en temps réel, 1999.

H. Jiang, Design Issues in VLSI Implementation of Image Processing Hardware Accelerator, 2007.

V. Simona, VLSI Architecture For Motion Estimation In Underwater Imaging, 2005.

. Intel, Intel atom processor specifications (intel.com), 2010.

L. Torres, P. Benoit, G. Sassatelli, M. Robert, D. Puscini et al., An Introduction to Multiprocessor System-on-Chip : Trends and Challenges " Multiprocessor System-on-Chip Hardware Design and Tool Integration Hübner, pp.978-979, 2011.

T. Instruments, Tms320c6670 multicore fixed and floating-point system-onchip (rev. a) (www.ti.com), 2011.

J. A. Fisher, Very long instruction word architectures and the eli-512, Proceedings of the 10th annual international symposium on Computer architecture, ISCA '83, pp.140-150, 1983.

V. Brost, F. Yang, M. Paindavoine, and N. Farrugia, Multiple modular vliw processors based on fpga, Journal of Electronic Imaging, 2007.
URL : https://hal.archives-ouvertes.fr/hal-00640783

P. Kollig, C. Osborne, and T. Henriksson, Heterogeneous multi-core platform for consumer multimedia applications, 2009 Design, Automation & Test in Europe Conference & Exhibition, pp.1254-1259
DOI : 10.1109/DATE.2009.5090857

. Leuven, . Belgium, and . Belgium, European Design and Automation Association, 2009.

A. Peleg and U. Weiser, MMX technology extension to the Intel architecture, IEEE Micro, vol.16, issue.4, pp.42-50, 1996.
DOI : 10.1109/40.526924

K. Diefendorff, P. K. Dubey, R. Hochsprung, and H. Scales, AltiVec extension to PowerPC accelerates media processing, IEEE Micro, vol.20, issue.2, pp.85-95, 2000.
DOI : 10.1109/40.848475

S. Chatterji, M. Narayanan, J. Duell, and L. Oliker, Performance evaluation of two emerging media processors: VIRAM and Imagine, Proceedings International Parallel and Distributed Processing Symposium, pp.229-230, 2003.
DOI : 10.1109/IPDPS.2003.1213417

. Hiveflex-video-vsp1, Video signal processing architecture for video coding and post-processing, Multimedia, International Symposium on, pp.493-500, 2006.

S. Kyo, S. Nomoto, and S. Okazaki, Mapping schemes of image recognition tasks onto highly parallel SIMD/MIMD processors, 2009 Third ACM/IEEE International Conference on Distributed Smart Cameras (ICDSC), 2009.
DOI : 10.1109/ICDSC.2009.5289350

A. Abbo, R. Kleihorst, V. Choudhary, L. Sevat, P. Wielage et al., XETAL-II: A 107 GOPS, 600mW Massively-Parallel Processor for Video Scene Analysis, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers, pp.270-602, 2007.
DOI : 10.1109/ISSCC.2007.373398

Y. Pu, Y. He, S. M. Zhenyu-ye, A. A. Londono, R. Abbo et al., From xetal-ii to xetal-pro : On the road toward an ultralow-energy and high-throughput simd processor. Circuits and Systems for Video Technology, IEEE Transactions on, vol.21, issue.4, pp.472-484, 2011.

J. Sanders and E. Kandrot, CUDA by Example : An Introduction to General-Purpose GPU Programming, 2010.

E. Lindholm, J. Nickolls, S. Oberman, and J. Montrym, NVIDIA Tesla: A Unified Graphics and Computing Architecture, IEEE Micro, vol.28, issue.2, pp.39-55, 2008.
DOI : 10.1109/MM.2008.31

B. K. Khailany, T. Williams, J. Lin, E. P. Long, M. Rygh et al., A programmable 512 gops stream processor for signal, image, and video processing. Solid-State Circuits, IEEE Journal, vol.43, issue.1, pp.202-213, 2008.

. Nvidia, The benefits of multiple cpu cores in mobile devices (www.nvidia.com), 2010.

R. Hartenstein, A decade of reconfigurable computing: a visionary retrospective, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001, 2001.
DOI : 10.1109/DATE.2001.915091

A. Astarloa, Tornado: A self-reconfiguration control system for core-based multiprocessor CSoPCs, Journal of Systems Architecture, vol.53, issue.9, 2007.
DOI : 10.1016/j.sysarc.2007.01.011

F. J. Gomez-arribas, I. Gonzalez, and S. Lopez-buedo, Implementation of secure applications in self-reconfigurable systems, 2007.

P. Benoit, L. Torres, G. Sassatelli, and N. Saint-jean, Run-time mapping for dynamic reconfiguration management in embedded systems, International Journal of Embedded Systems, vol.4, issue.3/4, 2010.
DOI : 10.1504/IJES.2010.039031

URL : https://hal.archives-ouvertes.fr/lirmm-00818929

K. Compton, Programming architectures for run-time reconfigurable systems, 1999.

C. Claus and J. Zeppenfeld, Using Partial-Run-Time Reconfigurable Hardware to accelerate Video Processing in Driver Assistance System, 2007 Design, Automation & Test in Europe Conference & Exhibition, 2007.
DOI : 10.1109/DATE.2007.364642

. Intel, Premì eres puces configurablesàconfigurablesà base de processeur intel atom (www.intel.com), 2010.

. Xilinx, Zynq-7000 extensible processing platform (www.xilinx.com), 2011.

J. C. Chen and S. Chien, Crisp : Coarse-grained reconfigurable image stream processor for digital still cameras and camcorders. Circuits and Systems for Video Technology, IEEE Transactions on, vol.18, issue.9, pp.1223-1236, 2008.
DOI : 10.1109/tcsvt.2008.928529

URL : http://ntur.lib.ntu.edu.tw//bitstream/246246/149778/1/16.pdf

J. C. Tsung-huang-chen, T. Chen, S. Cheng, and . Chien, Crisp-ds : Dual-stream coarse-grained reconfigurable image stream processor for hd digital camcorders and digital still cameras, Solid-State Circuits Conference, 2009.

F. Bouwens, M. Berekovic, B. D. Sutter, and G. Gaydadjiev, Architecture Enhancements for the ADRES Coarse-Grained Reconfigurable Array, Proceedings of the 3rd international conference on High performance embedded architectures and compilers, HiPEAC'08, pp.66-81, 2008.
DOI : 10.1007/978-3-540-77560-7_6

F. Bouwens, M. Berekovic, A. Kanstein, and G. Gaydadjiev, Architectural Exploration of the ADRES Coarse-Grained Reconfigurable Array, Proceedings of the 3rd international conference on Reconfigurable computing : architectures , tools and applications, ARC'07, pp.1-13, 2007.
DOI : 10.1007/978-3-540-71431-6_1

D. Demigny, Méthodes et architectures pour le TSI en temps-réel, p.220, 2001.

R. Bourguiba, D. Demigny, and L. Kessal, Architecture reconfigurable dynamiquement, application au traitement d'image, 1999.

N. Peter and S. , Reconfigurable Platform-Based Design in FPGAs for Video Image Processing, 2006.

P. Bonnot, F. Lemonnier, G. Edelin, G. Gaillat, O. Ruch et al., Definition and SIMD implementation of a multi-processing architecture approach on FPGA, Proceedings of the conference on Design, automation and test in Europe, DATE '08, pp.610-615, 2008.
DOI : 10.1145/1403375.1403523

. Achronix, Speedster22i-hp (www.achronix.com), 2011.

J. David, R. Katz, and . Gentile, Embedded Media Processing, 2006.

W. Wolf, High-Performance Embedded Computing, 2007.

. Arteris, From " bus " and " crossbar " to " network-on-chip " (www.arteris.com), 2009.

E. Casseau and . Roma, Reconfigurable operators for multimedia applications, projet anr « architectures du futur, Colloque « Systèmes embarqués, sécurité et sûreté de fonctionnement, 2006.

T. Tao and Y. , On-Chip Multiprocessor Communication Network Design And Analysis, 2003.

J. Denoulet, Architectures massivementparalì eles de systèmes sur circuits (SoC) pour le traitement de flux vidéos, 2004.

E. Salminen, A. Kulmala, and T. D. Hamalainen, On network-on-chip comparison, 10th Euromicro Conference on Digital System Design Architectures, Methods and Tools (DSD 2007), pp.503-510, 2007.
DOI : 10.1109/DSD.2007.4341515

J. Delorme, J. Martin, A. Nafkha, C. Moy, F. Clermidy et al., A FPGA partial reconfiguration design approach for cognitive radio based on NoC architecture, 2008 Joint 6th International IEEE Northeast Workshop on Circuits and Systems and TAISA Conference, pp.355-358, 2008.
DOI : 10.1109/NEWCAS.2008.4606394

URL : https://hal.archives-ouvertes.fr/hal-00334528

C. Hilton and B. Nelson, Pnoc : a flexible circuit-switched noc for fpga-based systems. Computers and Digital Techniques, IEE Proceedings, vol.153, issue.3, pp.181-188, 2006.

V. Fresse, J. Tan, and F. Rousseau, Exploration of an adaptive NoC architecture on FPGA dedicated to multi and hysperspectral algorithm for art authentication, 2010 2nd International Conference on Image Processing Theory, Tools and Applications, pp.529-534, 2010.
DOI : 10.1109/IPTA.2010.5586801

URL : https://hal.archives-ouvertes.fr/hal-01408843

S. , L. Beux, G. Nicolescu, G. Bois, Y. Bouchebaba et al., Optimizing configuration and application mapping for mpsoc architectures, pp.474-481, 2009.
DOI : 10.1109/ahs.2009.35

L. Zhang, V. Fresse, M. Khalid, D. Houzet, M. Ahmadi et al., Evaluation of noc dedicated to multispectral image data communication, pp.1-4, 2009.
URL : https://hal.archives-ouvertes.fr/ujm-00407284

S. Jovanovic, C. Tanougast, S. Weber, and C. Bobda, CuNoC: A Scalable Dynamic NoC for Dynamically Reconfigurable FPGAs, 2007 International Conference on Field Programmable Logic and Applications, pp.753-756, 2007.
DOI : 10.1109/FPL.2007.4380761

S. Jovanovic, Architecture reconfigurable de systèmes embarqué auto-organisé, 2009.

M. Majer, C. Bobda, A. Ahmadinia, and J. Teich, Packet Routing in Dynamically Changing Networks on Chip, 19th IEEE International Parallel and Distributed Processing Symposium, pp.154-154, 2005.
DOI : 10.1109/IPDPS.2005.323

T. A. Bartic, J. Mignolet, V. Nollet, T. Marescaux, D. Verkest et al., Topology adaptive network-on-chip design and implementation. Computers and Digital Techniques, IEE Proceedings, vol.152, issue.4, pp.467-472, 2005.
DOI : 10.1049/ip-cdt:20045016

D. Gohringer, M. Hubner, T. Perschke, and J. Becker, New dimensions for multiprocessor architectures: On demand heterogeneity, infrastructure and performance through reconfigurability — the RAMPSoC approach, 2008 International Conference on Field Programmable Logic and Applications, pp.495-498, 2008.
DOI : 10.1109/FPL.2008.4629992

D. Gohringer, B. Liu, M. Hubner, and J. Becker, Star-wheels network-on-chip featuring a self-adaptive mixed topology and a synergy of a circuit -and a packetswitching communication protocol, pp.320-325, 2009.

D. Lüdtke, D. Tutsch, and G. Hommel, An Analyzable On-Chip Network Architecture for Embedded Systems, Embedded Systems ? Modeling, Technology, and Applications, pp.63-72
DOI : 10.1007/1-4020-4933-1_7

C. Clienti, S. Beucher, and M. Bilodeau, A system on chip dedicated to pipeline neighborhood processing for mathematical morphology, 2008.
URL : https://hal.archives-ouvertes.fr/hal-00830910

F. A. Samman, T. Hollstein, and M. Glesner, Multicast parallel pipeline router architecture for network-on-chip, pp.1396-1401, 2008.
DOI : 10.1109/date.2008.4484869

F. A. Samman, T. Hollstein, and M. Glesner, Flexible parallel pipeline networkon-chip based on dynamic packet identity management, pp.1-8, 2008.
DOI : 10.1109/ipdps.2008.4536513

S. Bourduas, Modeling, Evaluation, and Implementation of Ring-Based Interconnects for Network-on-Chip, 2008.

M. Saldana, L. Shannon, and P. Chow, The routability of multiprocessor network topologies in fpgas, 2006.

S. Bai, Q. Zhou, R. Zhou, and L. Li, Barrier synchronization for cell multi-processor architecture, Proc. First IEEE International Conference on Ubi-Media Computing, pp.155-158, 2008.

. Creative, X-fi ring architecture (www.creative.com)

L. Torres, The systolic ring : A dynamically reconfigurable architecture for socs and embedded systems, Systems on Chips workshop, 2001.

P. Benoit, Architectures des accélérateurs de traitements flexibles pour les systèmes sur puce, II (LIRMM), 2004.
DOI : 10.3166/tsi.24.725-755

F. Clermidy, R. Lemaire, Y. Thonnart, and P. Vivet, A Communication and configuration controller for NoC based reconfigurable data flow architecture, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip, pp.153-162, 2009.
DOI : 10.1109/NOCS.2009.5071463

L. Braun, D. Gohringer, T. Perschke, V. Schatz, M. Hubner et al., Adaptive real-time image processing exploiting two dimensional reconfigurable architecture, Journal of Real-Time Image Processing, vol.22, issue.2, pp.109-125
DOI : 10.1007/s11554-008-0095-8

D. Wiklund and D. Liu, SoCBUS: switched network on chip for hard real time embedded systems, Proceedings International Parallel and Distributed Processing Symposium, 2003.
DOI : 10.1109/IPDPS.2003.1213180

G. Chiu, The odd-even turn model for adaptive routing. Parallel and Distributed Systems, IEEE Transactions on, vol.11, issue.7, pp.729-738, 2000.

T. Schonwald, J. Zimmermann, O. Bringmann, and W. , Fully Adaptive Fault-Tolerant Routing Algorithm for Network-on-Chip Architectures, 10th Euromicro Conference on Digital System Design Architectures, Methods and Tools (DSD 2007), pp.527-534, 2007.
DOI : 10.1109/DSD.2007.4341518

S. A. Asghari, H. Pedram, and M. Khademi, A flexible design of network on chip router based on handshaking communication mechanism, 2009 14th International CSI Computer Conference, pp.225-230, 2009.
DOI : 10.1109/CSICC.2009.5349425

M. Dall-'osso, G. Biccari, L. Giovannini, D. Bertozzi, and L. Benini, Xpipes : a latency insensitive parameterized network-on-chip architecture for multiprocessor socs, pp.536-539, 2003.

C. Henrique, P. O. Freitas, and . Naveaux, Noc architecture design for multicluster chips, 2008.

T. Marescaux, A. Bartic, D. Verkest, S. Vernalde, and R. Lauwereins, Interconnection Networks Enable Fine-Grain Dynamic Multi-tasking on FPGAs, FPL '02 : Proceedings of the Reconfigurable Computing Is Going Mainstream , 12th International Conference on Field-Programmable Logic and Applications, pp.795-805, 2002.
DOI : 10.1007/3-540-46117-5_82

L. Seung-eun and N. Bagherzadeh, Increasing the throughput of an adaptive router in network-on-chip (noc), Proceedings of the 4th international conference on Hardware/software codesign and system synthesis, CODES+ISSS '06, pp.82-87, 2006.

J. Hilgenstock, K. Hermann, and P. Pirsch, Memory organization of a single-chip video signal processing system with embedded DRAM, Proceedings Ninth Great Lakes Symposium on VLSI, pp.42-45, 1999.
DOI : 10.1109/GLSV.1999.757373

A. Kjaer-nielsen, L. Baunegaard-with-jensen, A. S. Sorensen, and N. Kruger, A Real-Time Embedded System for Stereo Vision Preprocessing Using an FPGA, 2008 International Conference on Reconfigurable Computing and FPGAs, pp.37-42, 2008.
DOI : 10.1109/ReConFig.2008.63

W. David-tawei, Modern DRAM Memory Systems : Performance Analysis And Scheduling Algorithm, 2005.

J. Hezavei, N. Vijaykrishnan, and M. J. Irwin, A comparative study of power efficient SRAM designs, Proceedings of the 10th Great Lakes Symposium on VLSI , GLSVLSI '00, pp.117-122, 2000.
DOI : 10.1145/330855.331018

. Samsung, Qdr ii sram : High-bandwidth memory for advanced network equipment, 2009.

E. Aho, Design and Implementation of Parallel Memory Architecture, 2006.