Image sensors and signal processing for digital still cameras ,
DOI : 10.1201/9781420026856
Introduction to infrared system design, 1996. ,
Passive infrared detection : theory and applications, 1999. ,
DOI : 10.1007/978-1-4757-6140-5
A cmos image sensor for low light applications, Circuits and Systems ISCAS 2006. Proceedings. 2006 IEEE International Symposium on, pp.0-0, 2006. ,
New digital camera sensor architecture for low light imaging, 2009 16th IEEE International Conference on Image Processing (ICIP), pp.2681-2684, 2009. ,
DOI : 10.1109/ICIP.2009.5414126
High-speed imaging: Image intensification, Nature Photonics, vol.4, issue.3, pp.152-153, 2010. ,
DOI : 10.1038/nphoton.2010.21
Video processing requirements on soc infrastructures, pp.1124-1125, 2008. ,
Handbook of image and video processing, 2005. ,
Reconfigurable Computing : The Theory and Practice of FPGA-Based Computation, 2007. ,
Fine-and Coarse-Grain Reconfigurable Computing, 2007. ,
DOI : 10.1007/978-1-4020-6505-7
Topological Structure and Analysis of Interconnection Networks, 2001. ,
DOI : 10.1007/978-1-4757-3387-7
A generic architecture for on-chip packet-switched interconnections, Europe Conference and Exhibition, p.250, 2000. ,
Route packets, not wires: on-chip interconnection networks, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232), pp.684-689, 2001. ,
DOI : 10.1109/DAC.2001.935594
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.1.5322
Principles and Practices of Interconnection Networks, 2004. ,
A run-time reconfigurable Network-on-Chip for streaming DSP applications, 2007. ,
Multi-Camera Networks : Principles and Applications, 2009. ,
A real-time stereo smartcam, using fpga, simd and vliw, Proc. 2nd Workshop on Applications of Computer Vision Austria, pp.1-8, 2006. ,
Coarsegrained reconfigurable image stream processor architecture for high-definition cameras and camcorders, SoC Design Conference (ISOCC), 2010 International, pp.95-98, 2010. ,
Memory System for a Dynamically Adaptable Pixel Stream Architecture, 2010 International Conference on Field Programmable Logic and Applications, 2010. ,
DOI : 10.1109/FPL.2010.48
URL : https://hal.archives-ouvertes.fr/hal-00622488
Mohamed Akil, and François Contou-carrere. Dynamically adaptable architecture for real-time video processing, IEEE International Symposium on Circuits and Systems (ISCAS'10), pp.2010-213 ,
Ccd vs cmos : Facts and fictions, Photonics Spectra, 2001. ,
CMOS image sensors: electronic camera-on-a-chip, IEEE Transactions on Electron Devices, vol.44, issue.10, pp.1689-1698, 1997. ,
DOI : 10.1109/16.628824
Comparison of modern CCD and CMOS image sensor technologies and systems for low resolution imaging, Proceedings of IEEE Sensors, pp.171-176, 2002. ,
DOI : 10.1109/ICSENS.2002.1037011
Color imaging array, 1976. ,
30 years of demosaicing, pp.561-581, 2004. ,
Electron-Bombarded CMOS Image Sensor in Single Photon Imaging Mode, IEEE Sensors Journal, vol.11, issue.1, pp.186-193, 2011. ,
DOI : 10.1109/JSEN.2010.2055846
Thermoelectric infrared sensors by cmos technology. Electron Device Letters, IEEE, vol.13, issue.9, pp.454-456, 1992. ,
DOI : 10.1109/55.192792
Infrared sensor technology, Proceedings of 17th International Conference of the Engineering in Medicine and Biology Society, pp.1715-1716, 1995. ,
DOI : 10.1109/IEMBS.1995.579906
Single-sensor imaging in consumer digital cameras: a survey of recent advances and future directions, Journal of Real-Time Image Processing, vol.53, issue.10, pp.45-52, 2006. ,
DOI : 10.1007/s11554-006-0003-z
Multi-Sensor Image Fusion and Its Applications, CRC, 2005. ,
Image Fusion : Algorithms and Applications, 2008. ,
Etude et réalisation d'un calculateur temps réel embarqué pour la détection de petits objets dans des séquences d'images multi-´ echelles, 2008. ,
Implementation of an rbf neural network on embedded systems: real-time face tracking and identity verification, IEEE Transactions on Neural Networks, vol.14, issue.5, pp.1162-1175, 2003. ,
DOI : 10.1109/TNN.2003.816035
Architecturesparalì eles pour l'analyse de visages embarquée, 2008. ,
Motion estimation and segmentation using a global Bayesian approach, International Conference on Acoustics, Speech, and Signal Processing, pp.2305-2308, 1990. ,
DOI : 10.1109/ICASSP.1990.116039
Présentation d'un cha??necha??ne de traitements vidéos (cours ensta, 2008. ,
New improved nonuniformity correction for infrared focal plane arrays, Optics Communications, vol.245, issue.1-6, pp.49-53, 2005. ,
DOI : 10.1016/j.optcom.2004.09.077
Méthodes et algorithmes de dématriçage et de filtrage du bruit pour la photographie numérique, 2009. ,
A Review of Image Denoising Algorithms, with a New One, Multiscale Modeling & Simulation, vol.4, issue.2, pp.490-530, 2005. ,
DOI : 10.1137/040616024
URL : https://hal.archives-ouvertes.fr/hal-00271141
Fast bilatral filtering for the display of highdynamic-range images, 2002. ,
Adaptive Wiener filtering of noisy images and image sequences, Proceedings 2003 International Conference on Image Processing (Cat. No.03CH37429), pp.349-52, 2003. ,
DOI : 10.1109/ICIP.2003.1247253
Wavelet deconvolution. Information Theory, IEEE Transactions on, vol.48, issue.3, pp.734-747, 2002. ,
Bayesian-Based Iterative Method of Image Restoration*, Journal of the Optical Society of America, vol.62, issue.1, pp.55-59, 1972. ,
DOI : 10.1364/JOSA.62.000055
A fast Multi-Scale Retinex algorithm for color image enhancement, 2008 International Conference on Wavelet Analysis and Pattern Recognition, pp.80-85, 2008. ,
DOI : 10.1109/ICWAPR.2008.4635754
Enhancement of infrared-based image identification system for security robots by image decomposition, 2009 4th International Conference on Autonomous Robots and Agents ,
DOI : 10.1109/ICARA.2000.4803977
Advanced Bilinear Image Interpolation Based on Edge Features, 2009 First International Conference on Advances in Multimedia, pp.33-36, 2009. ,
DOI : 10.1109/MMEDIA.2009.14
Enhanced B-Spline interpolation of images, Proceedings 1998 International Conference on Image Processing. ICIP98 (Cat. No.98CB36269), pp.289-293, 1998. ,
DOI : 10.1109/ICIP.1998.727201
Fast b-spline transforms for continuous image representation and interpolation. Pattern Analysis and Machine Intelligence, IEEE Transactions on, vol.13, issue.3, pp.277-285, 1991. ,
Super-resolution image reconstruction: a technical overview, IEEE Signal Processing Magazine, vol.20, issue.3, pp.21-36, 2003. ,
DOI : 10.1109/MSP.2003.1203207
Superresolution technique for thermography with dual-camera system, Circuits and Systems (ISCAS Proceedings of 2010 IEEE International Symposium on, pp.1895-1898, 2010. ,
DOI : 10.1109/iscas.2010.5537929
Objectively Optimised Multisensor Image Fusion, 2006 9th International Conference on Information Fusion, pp.1-7, 2006. ,
DOI : 10.1109/ICIF.2006.301683
Efficient Sequential and Parallel Algorithms for Morphological Image Processing, 2004. ,
Efficient hardware implementation of connected component tree algorithm, Workshop on Design and Architectures For Signal and Image Processing, 2007. ,
URL : https://hal.archives-ouvertes.fr/hal-00622340
Mohamed Akil, and Francois Contou-Carrere. Fast and efficient fpga implementation of connected operators, Journal of Systems Architecture, 2009. ,
Architecture Reconfigurable : Conception et Evaluation d'un système reconfigurable pour le traitement bas niveau d'images en temps réel, 1999. ,
Design Issues in VLSI Implementation of Image Processing Hardware Accelerator, 2007. ,
VLSI Architecture For Motion Estimation In Underwater Imaging, 2005. ,
Intel atom processor specifications (intel.com), 2010. ,
An Introduction to Multiprocessor System-on-Chip : Trends and Challenges " Multiprocessor System-on-Chip Hardware Design and Tool Integration Hübner, pp.978-979, 2011. ,
Tms320c6670 multicore fixed and floating-point system-onchip (rev. a) (www.ti.com), 2011. ,
Very long instruction word architectures and the eli-512, Proceedings of the 10th annual international symposium on Computer architecture, ISCA '83, pp.140-150, 1983. ,
Multiple modular vliw processors based on fpga, Journal of Electronic Imaging, 2007. ,
URL : https://hal.archives-ouvertes.fr/hal-00640783
Heterogeneous multi-core platform for consumer multimedia applications, 2009 Design, Automation & Test in Europe Conference & Exhibition, pp.1254-1259 ,
DOI : 10.1109/DATE.2009.5090857
European Design and Automation Association, 2009. ,
MMX technology extension to the Intel architecture, IEEE Micro, vol.16, issue.4, pp.42-50, 1996. ,
DOI : 10.1109/40.526924
AltiVec extension to PowerPC accelerates media processing, IEEE Micro, vol.20, issue.2, pp.85-95, 2000. ,
DOI : 10.1109/40.848475
Performance evaluation of two emerging media processors: VIRAM and Imagine, Proceedings International Parallel and Distributed Processing Symposium, pp.229-230, 2003. ,
DOI : 10.1109/IPDPS.2003.1213417
Video signal processing architecture for video coding and post-processing, Multimedia, International Symposium on, pp.493-500, 2006. ,
Mapping schemes of image recognition tasks onto highly parallel SIMD/MIMD processors, 2009 Third ACM/IEEE International Conference on Distributed Smart Cameras (ICDSC), 2009. ,
DOI : 10.1109/ICDSC.2009.5289350
XETAL-II: A 107 GOPS, 600mW Massively-Parallel Processor for Video Scene Analysis, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers, pp.270-602, 2007. ,
DOI : 10.1109/ISSCC.2007.373398
From xetal-ii to xetal-pro : On the road toward an ultralow-energy and high-throughput simd processor. Circuits and Systems for Video Technology, IEEE Transactions on, vol.21, issue.4, pp.472-484, 2011. ,
CUDA by Example : An Introduction to General-Purpose GPU Programming, 2010. ,
NVIDIA Tesla: A Unified Graphics and Computing Architecture, IEEE Micro, vol.28, issue.2, pp.39-55, 2008. ,
DOI : 10.1109/MM.2008.31
A programmable 512 gops stream processor for signal, image, and video processing. Solid-State Circuits, IEEE Journal, vol.43, issue.1, pp.202-213, 2008. ,
The benefits of multiple cpu cores in mobile devices (www.nvidia.com), 2010. ,
A decade of reconfigurable computing: a visionary retrospective, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001, 2001. ,
DOI : 10.1109/DATE.2001.915091
Tornado: A self-reconfiguration control system for core-based multiprocessor CSoPCs, Journal of Systems Architecture, vol.53, issue.9, 2007. ,
DOI : 10.1016/j.sysarc.2007.01.011
Implementation of secure applications in self-reconfigurable systems, 2007. ,
Run-time mapping for dynamic reconfiguration management in embedded systems, International Journal of Embedded Systems, vol.4, issue.3/4, 2010. ,
DOI : 10.1504/IJES.2010.039031
URL : https://hal.archives-ouvertes.fr/lirmm-00818929
Programming architectures for run-time reconfigurable systems, 1999. ,
Using Partial-Run-Time Reconfigurable Hardware to accelerate Video Processing in Driver Assistance System, 2007 Design, Automation & Test in Europe Conference & Exhibition, 2007. ,
DOI : 10.1109/DATE.2007.364642
Premì eres puces configurablesàconfigurablesà base de processeur intel atom (www.intel.com), 2010. ,
Zynq-7000 extensible processing platform (www.xilinx.com), 2011. ,
Crisp : Coarse-grained reconfigurable image stream processor for digital still cameras and camcorders. Circuits and Systems for Video Technology, IEEE Transactions on, vol.18, issue.9, pp.1223-1236, 2008. ,
DOI : 10.1109/tcsvt.2008.928529
URL : http://ntur.lib.ntu.edu.tw//bitstream/246246/149778/1/16.pdf
Crisp-ds : Dual-stream coarse-grained reconfigurable image stream processor for hd digital camcorders and digital still cameras, Solid-State Circuits Conference, 2009. ,
Architecture Enhancements for the ADRES Coarse-Grained Reconfigurable Array, Proceedings of the 3rd international conference on High performance embedded architectures and compilers, HiPEAC'08, pp.66-81, 2008. ,
DOI : 10.1007/978-3-540-77560-7_6
Architectural Exploration of the ADRES Coarse-Grained Reconfigurable Array, Proceedings of the 3rd international conference on Reconfigurable computing : architectures , tools and applications, ARC'07, pp.1-13, 2007. ,
DOI : 10.1007/978-3-540-71431-6_1
Méthodes et architectures pour le TSI en temps-réel, p.220, 2001. ,
Architecture reconfigurable dynamiquement, application au traitement d'image, 1999. ,
Reconfigurable Platform-Based Design in FPGAs for Video Image Processing, 2006. ,
Definition and SIMD implementation of a multi-processing architecture approach on FPGA, Proceedings of the conference on Design, automation and test in Europe, DATE '08, pp.610-615, 2008. ,
DOI : 10.1145/1403375.1403523
Speedster22i-hp (www.achronix.com), 2011. ,
Embedded Media Processing, 2006. ,
High-Performance Embedded Computing, 2007. ,
From " bus " and " crossbar " to " network-on-chip " (www.arteris.com), 2009. ,
Reconfigurable operators for multimedia applications, projet anr « architectures du futur, Colloque « Systèmes embarqués, sécurité et sûreté de fonctionnement, 2006. ,
On-Chip Multiprocessor Communication Network Design And Analysis, 2003. ,
Architectures massivementparalì eles de systèmes sur circuits (SoC) pour le traitement de flux vidéos, 2004. ,
On network-on-chip comparison, 10th Euromicro Conference on Digital System Design Architectures, Methods and Tools (DSD 2007), pp.503-510, 2007. ,
DOI : 10.1109/DSD.2007.4341515
A FPGA partial reconfiguration design approach for cognitive radio based on NoC architecture, 2008 Joint 6th International IEEE Northeast Workshop on Circuits and Systems and TAISA Conference, pp.355-358, 2008. ,
DOI : 10.1109/NEWCAS.2008.4606394
URL : https://hal.archives-ouvertes.fr/hal-00334528
Pnoc : a flexible circuit-switched noc for fpga-based systems. Computers and Digital Techniques, IEE Proceedings, vol.153, issue.3, pp.181-188, 2006. ,
Exploration of an adaptive NoC architecture on FPGA dedicated to multi and hysperspectral algorithm for art authentication, 2010 2nd International Conference on Image Processing Theory, Tools and Applications, pp.529-534, 2010. ,
DOI : 10.1109/IPTA.2010.5586801
URL : https://hal.archives-ouvertes.fr/hal-01408843
Optimizing configuration and application mapping for mpsoc architectures, pp.474-481, 2009. ,
DOI : 10.1109/ahs.2009.35
Evaluation of noc dedicated to multispectral image data communication, pp.1-4, 2009. ,
URL : https://hal.archives-ouvertes.fr/ujm-00407284
CuNoC: A Scalable Dynamic NoC for Dynamically Reconfigurable FPGAs, 2007 International Conference on Field Programmable Logic and Applications, pp.753-756, 2007. ,
DOI : 10.1109/FPL.2007.4380761
Architecture reconfigurable de systèmes embarqué auto-organisé, 2009. ,
Packet Routing in Dynamically Changing Networks on Chip, 19th IEEE International Parallel and Distributed Processing Symposium, pp.154-154, 2005. ,
DOI : 10.1109/IPDPS.2005.323
Topology adaptive network-on-chip design and implementation. Computers and Digital Techniques, IEE Proceedings, vol.152, issue.4, pp.467-472, 2005. ,
DOI : 10.1049/ip-cdt:20045016
New dimensions for multiprocessor architectures: On demand heterogeneity, infrastructure and performance through reconfigurability — the RAMPSoC approach, 2008 International Conference on Field Programmable Logic and Applications, pp.495-498, 2008. ,
DOI : 10.1109/FPL.2008.4629992
Star-wheels network-on-chip featuring a self-adaptive mixed topology and a synergy of a circuit -and a packetswitching communication protocol, pp.320-325, 2009. ,
An Analyzable On-Chip Network Architecture for Embedded Systems, Embedded Systems ? Modeling, Technology, and Applications, pp.63-72 ,
DOI : 10.1007/1-4020-4933-1_7
A system on chip dedicated to pipeline neighborhood processing for mathematical morphology, 2008. ,
URL : https://hal.archives-ouvertes.fr/hal-00830910
Multicast parallel pipeline router architecture for network-on-chip, pp.1396-1401, 2008. ,
DOI : 10.1109/date.2008.4484869
Flexible parallel pipeline networkon-chip based on dynamic packet identity management, pp.1-8, 2008. ,
DOI : 10.1109/ipdps.2008.4536513
Modeling, Evaluation, and Implementation of Ring-Based Interconnects for Network-on-Chip, 2008. ,
The routability of multiprocessor network topologies in fpgas, 2006. ,
Barrier synchronization for cell multi-processor architecture, Proc. First IEEE International Conference on Ubi-Media Computing, pp.155-158, 2008. ,
X-fi ring architecture (www.creative.com) ,
The systolic ring : A dynamically reconfigurable architecture for socs and embedded systems, Systems on Chips workshop, 2001. ,
Architectures des accélérateurs de traitements flexibles pour les systèmes sur puce, II (LIRMM), 2004. ,
DOI : 10.3166/tsi.24.725-755
A Communication and configuration controller for NoC based reconfigurable data flow architecture, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip, pp.153-162, 2009. ,
DOI : 10.1109/NOCS.2009.5071463
Adaptive real-time image processing exploiting two dimensional reconfigurable architecture, Journal of Real-Time Image Processing, vol.22, issue.2, pp.109-125 ,
DOI : 10.1007/s11554-008-0095-8
SoCBUS: switched network on chip for hard real time embedded systems, Proceedings International Parallel and Distributed Processing Symposium, 2003. ,
DOI : 10.1109/IPDPS.2003.1213180
The odd-even turn model for adaptive routing. Parallel and Distributed Systems, IEEE Transactions on, vol.11, issue.7, pp.729-738, 2000. ,
Fully Adaptive Fault-Tolerant Routing Algorithm for Network-on-Chip Architectures, 10th Euromicro Conference on Digital System Design Architectures, Methods and Tools (DSD 2007), pp.527-534, 2007. ,
DOI : 10.1109/DSD.2007.4341518
A flexible design of network on chip router based on handshaking communication mechanism, 2009 14th International CSI Computer Conference, pp.225-230, 2009. ,
DOI : 10.1109/CSICC.2009.5349425
Xpipes : a latency insensitive parameterized network-on-chip architecture for multiprocessor socs, pp.536-539, 2003. ,
Noc architecture design for multicluster chips, 2008. ,
Interconnection Networks Enable Fine-Grain Dynamic Multi-tasking on FPGAs, FPL '02 : Proceedings of the Reconfigurable Computing Is Going Mainstream , 12th International Conference on Field-Programmable Logic and Applications, pp.795-805, 2002. ,
DOI : 10.1007/3-540-46117-5_82
Increasing the throughput of an adaptive router in network-on-chip (noc), Proceedings of the 4th international conference on Hardware/software codesign and system synthesis, CODES+ISSS '06, pp.82-87, 2006. ,
Memory organization of a single-chip video signal processing system with embedded DRAM, Proceedings Ninth Great Lakes Symposium on VLSI, pp.42-45, 1999. ,
DOI : 10.1109/GLSV.1999.757373
A Real-Time Embedded System for Stereo Vision Preprocessing Using an FPGA, 2008 International Conference on Reconfigurable Computing and FPGAs, pp.37-42, 2008. ,
DOI : 10.1109/ReConFig.2008.63
Modern DRAM Memory Systems : Performance Analysis And Scheduling Algorithm, 2005. ,
A comparative study of power efficient SRAM designs, Proceedings of the 10th Great Lakes Symposium on VLSI , GLSVLSI '00, pp.117-122, 2000. ,
DOI : 10.1145/330855.331018
Qdr ii sram : High-bandwidth memory for advanced network equipment, 2009. ,
Design and Implementation of Parallel Memory Architecture, 2006. ,