R. I. Bahar, D. Hammerstrom, J. Harlow, W. H. Joyner-jr, C. Lau et al., Architectures for silicon nanoelectronics and beyond, Computer, vol.40, issue.1, pp.25-33, 2007.
DOI : 10.1109/MC.2007.7

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.115.6411

M. Stanisavljevic, M. Schmid, and Y. Leblebici, Reliability of Nanoscale Circuits and Systems, 2011.
DOI : 10.1007/978-1-4419-6217-1

P. Pukite and J. Pukite, Markov Modeling for Reliability Analysis, 1998.

S. Almukhaizim and Y. Makris, Soft Error Mitigation Through Selective Addition of Functionally Redundant Wires, IEEE Transactions on Reliability, vol.57, issue.1, pp.23-31, 2008.
DOI : 10.1109/TR.2008.916877

N. Alves, A. Buben, K. Nepal, J. Dworak, and R. Bahar, A cost effective approach for online error detection using invariant relationships Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.29, pp.788-801, 2010.

E. Mollick, Establishing Moore's Law, IEEE Annals of the History of Computing, vol.28, issue.3, pp.62-75, 2006.
DOI : 10.1109/MAHC.2006.45

R. Ogus, The Probability of a Correct Output from a Combinational Circuit, IEEE Transactions on Computers, vol.24, issue.5, pp.534-544, 1975.
DOI : 10.1109/T-C.1975.224257

D. P. Siewiorek, An improved reliability model for NMR, 1971.

J. Neumann, Probabilistic Logics and the Synthesis of Reliable Organisms From Unreliable Components, Automata Studies, pp.43-98, 1956.
DOI : 10.1515/9781400882618-003

H. J. Ketan, N. , M. Igor, and L. , Evaluating circuit reliability under probabilistic gatelevel fault models, Proceedings of the twelfth international workshop on logic and synthesis, pp.59-64, 2003.

S. Krishnaswamy, G. Viamontes, I. Markov, and J. Hayes, Accurate Reliability Evaluation and Enhancement via Probabilistic Transfer Matrices, Design, Automation and Test in Europe, pp.282-287, 2005.
DOI : 10.1109/DATE.2005.47

URL : https://hal.archives-ouvertes.fr/hal-00181530

D. Franco, M. Vasconcelos, L. Naviner, and J. Naviner, Reliability of logic circuits under multiple simultaneous faults, 2008 51st Midwest Symposium on Circuits and Systems, pp.265-268, 2008.
DOI : 10.1109/MWSCAS.2008.4616787

M. De-vasconcelos, D. Franco, L. De, B. Naviner, and J. Naviner, Reliability analysis of combinational circuits based on a probabilistic binomial model, 2008 Joint 6th International IEEE Northeast Workshop on Circuits and Systems and TAISA Conference, pp.310-313, 2008.
DOI : 10.1109/NEWCAS.2008.4606383

N. Mohyuddin, E. Pakbaznia, and M. Pedram, Probabilistic error propagation in logic circuits using the boolean difference calculus, Computer Design IEEE International Conference on, pp.7-13, 2008.

J. Han, H. Chen, E. Boykin, and J. Fortes, Reliability evaluation of logic circuits using probabilistic gate models, Microelectronics Reliability, vol.51, issue.2, pp.468-476, 2010.
DOI : 10.1016/j.microrel.2010.07.154

M. Choudhury and K. Mohanram, Reliability analysis of logic circuits Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.28, issue.3, pp.392-405, 2009.

T. Rejimon and S. Bhanja, Scalable probabilistic computing models using Bayesian networks, 48th Midwest Symposium on Circuits and Systems, 2005., pp.712-715, 2005.
DOI : 10.1109/MWSCAS.2005.1594200

I. Koren and C. M. Krishna, Fault Tolerant Systems, 2007.

M. L. Shooman, Reliability of Computer Systems and Networks: Fault Tolerance, Analysis, and Design, 2002.
DOI : 10.1002/047122460X

L. Anghel, D. Alexandrescu, and M. Nicolaidis, Evaluation of a soft error tolerance technique based on time and/or space redundancy, Proceedings 13th Symposium on Integrated Circuits and Systems Design (Cat. No.PR00843), pp.237-242, 2000.
DOI : 10.1109/SBCCI.2000.876036

URL : https://hal.archives-ouvertes.fr/hal-00005833

M. Nicolaidis, Design for soft error mitigation Device and Materials Reliability, IEEE Transactions on, vol.5, issue.3, pp.405-418, 2005.

H. Yamauchi, A discussion on sram circuit design trend in deeper nanometer-scale technologies Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.18, pp.763-774, 2010.

S. Roy and V. Beiu, Majority Multiplexing???Economical Redundant Fault-Tolerant Designs for Nanoarchitectures, IEEE Transactions On Nanotechnology, vol.4, issue.4, pp.441-451, 2005.
DOI : 10.1109/TNANO.2005.851251

S. S. Mahdavi and K. Mohammadi, Reliability enhancement of digital combinational circuits based on evolutionary approach, Microelectronics Reliability, vol.50, issue.3, pp.415-423, 2010.
DOI : 10.1016/j.microrel.2009.11.016

K. Mohanram and N. Touba, Partial error masking to reduce soft error failure rate in logic circuits, Proceedings. 16th IEEE Symposium on Computer Arithmetic, pp.433-440, 2003.
DOI : 10.1109/DFTVS.2003.1250141

H. Yu, X. Fan, and M. Nicolaidis, Design trends and challenges of logic soft errors in future nanotechnologies circuits reliability, Solid-State and Integrated-Circuit Technology 9th International Conference on, pp.651-654, 2008.
URL : https://hal.archives-ouvertes.fr/hal-01412499

M. Baze and S. Buchner, Attenuation of single event induced pulses in CMOS combinational logic, IEEE Transactions on Nuclear Science, vol.44, issue.6, pp.2217-2223, 1997.
DOI : 10.1109/23.659038

R. Baumann, Radiation-induced soft errors in advanced semiconductor technologies, IEEE Transactions on Device and Materials Reliability, vol.5, issue.3, pp.305-316, 2005.
DOI : 10.1109/TDMR.2005.853449

D. P. Siewiorek and R. S. Swarz, Reliable computer systems -design and evaluation, 1992.

K. Nikolic, A. Sadek, and M. Forshaw, Fault-tolerant techniques for nanocomputers, Nanotechnology, vol.13, issue.3, pp.357-362, 2002.
DOI : 10.1088/0957-4484/13/3/323

D. T. Franco, J. Naviner, and L. Naviner, Rendement et Fiabilit?? dans les Technologies Nano??lectroniques, annals of telecommunications - annales des t??l??communications, vol.12, issue.10, pp.1422-1457, 1007.
DOI : 10.1007/BF03219903

K. Woo and M. Guthaus, Fault-tolerant synthesis using non-uniform redundancy, 2009 IEEE International Conference on Computer Design, pp.213-218, 2009.
DOI : 10.1109/ICCD.2009.5413153

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.398.2657

B. Parhami, Defect, Fault, Error,..., or Failure?, IEEE Transactions on Reliability, vol.46, issue.4, pp.450-451, 1997.
DOI : 10.1109/TR.1997.693776

G. C. Messenger, Collection of Charge on Junction Nodes from Ion Tracks, IEEE Transactions on Nuclear Science, vol.29, issue.6, pp.2024-2031, 1982.
DOI : 10.1109/TNS.1982.4336490

R. Leveugle and A. Ammari, Early SEU fault injection in digital, analog and mixed signal circuits: a global flow, Proceedings Design, Automation and Test in Europe Conference and Exhibition, pp.590-595, 2004.
DOI : 10.1109/DATE.2004.1268909

URL : https://hal.archives-ouvertes.fr/hal-00015036

D. Kammler, J. Guan, G. Ascheid, R. Leupers, and H. Meyr, A Fast and Flexible Platform for Fault Injection and Evaluation in Verilog-Based Simulations, 2009 Third IEEE International Conference on Secure Software Integration and Reliability Improvement, pp.309-314, 2009.
DOI : 10.1109/SSIRI.2009.38

J. Baraza, J. Gracia, S. Blanc, D. Gil, and P. Gil, Enhancement of fault injection techniques based on the modification of VHDL code Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.16, pp.693-706, 2008.

R. Leveugle and K. Hadjiat, Multi-level fault injections in VHDL descriptions: Alternative approaches and experiments, Journal of Electronic Testing, vol.19, issue.5, pp.559-5751025178014797, 1023.
DOI : 10.1023/A:1025178014797

URL : https://hal.archives-ouvertes.fr/hal-00015037

D. Lee and J. Na, A Novel Simulation Fault Injection Method for Dependability Analysis, IEEE Design & Test of Computers, vol.26, issue.6, pp.50-61, 2009.
DOI : 10.1109/MDT.2009.135

A. Namazi, S. Askari, and M. Nourani, Highly reliable A/D converter using analog voting, 2008 IEEE International Conference on Computer Design, pp.334-339, 2008.
DOI : 10.1109/ICCD.2008.4751882

E. Marques, G. Junior, L. Naviner, and J. Naviner, Effective metrics for reliability analysis, 2010 53rd IEEE International Midwest Symposium on Circuits and Systems, pp.237-240, 2010.
DOI : 10.1109/MWSCAS.2010.5548671

URL : https://hal.archives-ouvertes.fr/hal-00627132

G. Santos, E. Marques, L. De, B. Naviner, and J. Naviner, Using error tolerance of target application for efficient reliability improvement of digital circuits, Microelectronics Reliability, vol.50, issue.9-11, pp.1219-1222, 2010.
DOI : 10.1016/j.microrel.2010.07.147

R. Bahar, E. Frohm, C. Gaona, G. Hachtel, E. Macii et al., Algebraic decision diagrams and their applications, Proceedings of 1993 International Conference on Computer Aided Design (ICCAD), pp.188-191, 1993.
DOI : 10.1109/ICCAD.1993.580054

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.47.2128

D. T. Franco, M. C. Vasconcelos, L. Naviner, and J. Naviner, Signal probability for reliability evaluation of logic circuits, Microelectronics Reliability, vol.48, issue.8-9, pp.1586-1591, 2008.
DOI : 10.1016/j.microrel.2008.07.002

J. T. Flaquer, J. Daveau, L. A. Naviner, and P. Roche, Fast reliability analysis of combinatorial logic circuits using conditional probabilities, Microelectronics Reliability, vol.50, issue.9-11, pp.1215-1218, 2010.
DOI : 10.1016/j.microrel.2010.07.058

K. Parker and E. Mccluskey, Probabilistic Treatment of General Combinational Networks, IEEE Transactions on Computers, vol.24, issue.6, pp.668-670, 1975.
DOI : 10.1109/T-C.1975.224279

J. Han and P. Jonker, A system architecture solution for unreliable nanoelectronic devices, IEEE Transactions On Nanotechnology, vol.1, issue.4, pp.201-208, 2002.
DOI : 10.1109/TNANO.2002.807393

J. Sellers, F. F. , M. Hsiao, and L. Bearnson, Analyzing Errors with the Boolean Difference, IEEE Transactions on Computers, vol.17, issue.7, pp.17-676, 1968.
DOI : 10.1109/TC.1968.227417

D. Bhaduri and S. Shukla, NANOLAB???A Tool for Evaluating Reliability of Defect-Tolerant Nanoarchitectures, IEEE Transactions On Nanotechnology, vol.4, issue.4, pp.381-394, 2005.
DOI : 10.1109/TNANO.2005.851290

S. Sivaswamy, K. Bazargan, and M. Riedel, Estimation and optimization of reliability of noisy digital circuits, 2009 10th International Symposium on Quality of Electronic Design, pp.213-219, 2009.
DOI : 10.1109/ISQED.2009.4810296

P. C. Murley and G. R. Srinivasan, Soft-error Monte Carlo modeling program, SEMM, IBM Journal of Research and Development, vol.40, issue.1, pp.109-118, 1996.
DOI : 10.1147/rd.401.0109

M. Boyd and S. Bavuso, Simulation modeling for long duration spacecraft control systems, Annual Reliability and Maintainability Symposium 1993 Proceedings, pp.106-113, 1993.
DOI : 10.1109/RAMS.1993.296869

A. Singhee and R. Rutenbar, Statistical Blockade: A Novel Method for Very Fast Monte Carlo Simulation of Rare Circuit Events, and its Application, Design, Automation Test in Europe Conference Exhibition DATE '07, pp.1-6, 2007.
DOI : 10.1007/978-1-4020-6488-3_18

H. Chen and J. Han, Stochastic computational models for accurate reliability evaluation of logic circuits, Proceedings of the 20th symposium on Great lakes symposium on VLSI, GLSVLSI '10, pp.61-66, 2010.
DOI : 10.1145/1785481.1785497

H. Chen, J. Han, and F. Lombardi, A Transistor-Level Stochastic Approach for Evaluating the Reliability of Digital Nanometric CMOS Circuits, 2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, pp.60-67, 2011.
DOI : 10.1109/DFT.2011.23

E. Taylor, J. Han, and J. Fortes, Towards accurate and efficient reliability modeling of nanoelectronic circuits, Sixth IEEE Conference on, pp.395-398, 2006.

J. Vial, A. Bosio, P. Girard, C. Landrault, S. Pravossoudovitch et al., Using TMR Architectures for Yield Improvement, 2008 IEEE International Symposium on Defect and Fault Tolerance of VLSI Systems, 2008.
DOI : 10.1109/DFT.2008.23

URL : https://hal.archives-ouvertes.fr/lirmm-00406967

B. Bosio, P. Girard, S. Pravossoudovitch, and A. Virazel, A Comprehensive Framework for Logic Diagnosis of Arbitrary Defects, IEEE Transactions on Computers, vol.59, issue.3, pp.289-300, 2010.
DOI : 10.1109/TC.2009.177

URL : https://hal.archives-ouvertes.fr/lirmm-00553545

J. Vial, A. Bosio, P. Girard, C. Landrault, S. Pravossoudovitch et al., SoC Yield Improvement: Redundant Architectures to the Rescue?, 2008 IEEE International Test Conference, 2008.
DOI : 10.1109/TEST.2008.4700686

URL : https://hal.archives-ouvertes.fr/lirmm-00341799

L. Anghel and M. Nicolaidis, Cost reduction and evaluation of a temporary faults detecting technique, Design, Automation and Test in Europe Conference and Exhibition 2000. Proceedings, pp.591-598, 2000.
URL : https://hal.archives-ouvertes.fr/hal-00013756

P. Samudrala, J. Ramos, and S. Katkoori, Selective triple Modular redundancy (STMR) based single-event upset (SEU) tolerant synthesis for FPGAs, IEEE Transactions on Nuclear Science, vol.51, issue.5, pp.2957-2969, 2004.
DOI : 10.1109/TNS.2004.834955

X. She and P. Samudrala, Selective Triple Modular Redundancy for Single Event Upset (SEU) Mitigation, 2009 NASA/ESA Conference on Adaptive Hardware and Systems, pp.344-350, 2009.
DOI : 10.1109/AHS.2009.9

E. C. Marques, L. A. De-barros, J. Naviner, and . Naviner, An efficient tool for reliability improvement based on TMR, Microelectronics Reliability, vol.50, issue.9-11, pp.1247-1250, 2010.
DOI : 10.1016/j.microrel.2010.07.095

T. Ban and L. Naviner, Progressive module redundancy for fault-tolerant designs in nanoelectronics, Microelectronics Reliability, vol.51, issue.9-11, pp.1489-1492, 2011.
DOI : 10.1016/j.microrel.2011.06.020

URL : https://hal.archives-ouvertes.fr/hal-00637634

L. De-b-naviner, J. Naviner, T. Ban, and G. Gutemberg, Reliability analysis based on significance, IEEE Conference of Micro-Nanoelectronics Technology and Applications (CMTA), pp.1-7

O. Ruano, J. Maestro, and P. Reviriego, A Methodology for Automatic Insertion of Selective TMR in Digital Circuits Affected by SEUs, IEEE Transactions on Nuclear Science, vol.56, issue.4, pp.2091-2102, 2009.
DOI : 10.1109/TNS.2009.2014563

M. Augustin, M. , and R. Kraemer, Reducing the area overhead of TMR-systems by protecting specific signals, 2010 IEEE 16th International On-Line Testing Symposium, pp.268-273, 2010.
DOI : 10.1109/IOLTS.2010.5560191

J. Satori, J. Sloan, and R. Kumar, Fluid NMR -performing power/reliability tradeoffs for applications with error tolerance, Workshop on Power Aware Computing and Systems, 2009.

P. Viola and M. Jones, Robust real-time object detection, International Journal of Computer Vision, 2001.

X. Wang, K. E. Holbert, and L. T. Clark, Using TMR to mitigate SEUs for digital instrumentation and control in nuclear power plants, Interface, pp.925-934, 2010.

T. Oya, T. Asai, T. Fukui, and Y. Amemiya, A majority-logic device using an irreversible single-electron box, IEEE Transactions On Nanotechnology, vol.2, issue.1, pp.15-22, 2003.
DOI : 10.1109/TNANO.2003.808507

D. Bhaduri and S. Shukla, NANOPRISM, Proceedins of the 14th ACM Great Lakes symposium on VLSI , GLSVLSI '04, 2004.
DOI : 10.1145/988952.988980

K. Siozios and D. Soudris, A methodology for alleviating the performance degradation of TMR solutions Embedded Systems Letters, IEEE, vol.2, issue.4, pp.111-114, 2010.

J. Han, J. Gao, P. Jonker, Y. Qi, and J. Fortes, Toward Hardware-Redundant, Fault-Tolerant Logic for Nanoelectronics, IEEE Design and Test of Computers, vol.22, issue.4, pp.328-339, 2005.
DOI : 10.1109/MDT.2005.97

M. Hansen, H. Yalcin, and J. Hayes, Unveiling the ISCAS-85 benchmarks: a case study in reverse engineering, IEEE Design & Test of Computers, vol.16, issue.3, pp.72-80, 1999.
DOI : 10.1109/54.785838

M. Singh and I. Koren, Fault-sensitivity analysis and reliability enhancement of analogto-digital converters Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.11, issue.5, pp.839-852, 2003.

A. Maheshwari, W. Burleson, and R. Tessier, Trading off transient fault tolerance and power consumption in deep submicron (DSM) VLSI circuits Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.12, pp.299-311, 2004.

L. Naviner, J. Naviner, G. , S. Jr, E. Marques et al., FIFA: A fault-injection???fault-analysis-based tool for reliability assessment at RTL level, Microelectronics Reliability, vol.51, issue.9-11, pp.1459-1463, 2011.
DOI : 10.1016/j.microrel.2011.06.017

URL : https://hal.archives-ouvertes.fr/hal-00627134

E. Marques, L. Naviner, and J. Naviner, A method for efficient implementation of reliable processors, 2010 53rd IEEE International Midwest Symposium on Circuits and Systems, pp.1250-1253, 2010.
DOI : 10.1109/MWSCAS.2010.5548767

I. Hanninen and J. Takala, Reliability of n-Bit Nanotechnology Adder, 2008 IEEE Computer Society Annual Symposium on VLSI, pp.34-39, 2008.
DOI : 10.1109/ISVLSI.2008.6

T. Ban, L. De-barros, and . Naviner, A simple fault-tolerant digital voter circuit in TMR nanoarchitectures, Proceedings of the 8th IEEE International NEWCAS Conference 2010, pp.269-272, 2010.
DOI : 10.1109/NEWCAS.2010.5603933

URL : https://hal.archives-ouvertes.fr/hal-00637639

J. Han and P. Jonker, From massively parallel image processors to fault-tolerant nanocomputers, Proceedings of the 17th International Conference on Pattern Recognition, 2004. ICPR 2004., pp.2-7, 2004.
DOI : 10.1109/ICPR.2004.1334455

D. Blough and G. Sullivan, A comparison of voting strategies for fault-tolerant distributed systems, Proceedings Ninth Symposium on Reliable Distributed Systems, pp.136-145, 1990.
DOI : 10.1109/RELDIS.1990.93959

B. Parhami, Voting networks, IEEE Transactions on Reliability, vol.40, issue.3, pp.380-394, 1991.
DOI : 10.1109/24.85461

B. Parhami, Voting algorithms, IEEE Transactions on Reliability, vol.43, issue.4, pp.617-629, 1994.
DOI : 10.1109/24.370218

A. Namazi, M. Nourani, and M. Saquib, A fault-tolerant interconnect mechanism for nmr nanoarchitectures Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.18, pp.1433-1446, 2010.

W. Evans and L. Schulman, Signal propagation and noisy circuits Information Theory, IEEE Transactions on, vol.45, pp.2367-2373, 1999.
DOI : 10.1109/18.796377

URL : http://authors.library.caltech.edu/5241/1/EVAieeetit99.pdf

J. Han, E. Boykin, H. Chen, J. Liang, and J. Fortes, On the Reliability of Computational Structures Using Majority Logic, IEEE Transactions on Nanotechnology, vol.10, issue.5, pp.1099-1112, 2011.
DOI : 10.1109/TNANO.2011.2111460

W. Evans and L. Schulman, Signal propagation and noisy circuits Information Theory, IEEE Transactions on, vol.45, pp.2367-2373, 1999.

R. Kshirsagar and R. Patrikar, Design of a novel fault-tolerant voter circuit for TMR implementation to improve reliability in digital circuits, Microelectronics Reliability, vol.49, issue.12, pp.1573-1577, 2009.
DOI : 10.1016/j.microrel.2009.08.001

T. Ban and L. Naviner, Optimized robust digital voter in tmr designs, Colloque National GdR SoC-SiP, 2011.
URL : https://hal.archives-ouvertes.fr/hal-00637642

T. Ban and L. Naviner, Progressive module redundancy for fault-tolerant designs in nanoelectronics, European Symposium on Reliability of Electron Devices, 2011.
DOI : 10.1016/j.microrel.2011.06.020

URL : https://hal.archives-ouvertes.fr/hal-00637634

T. Ban and L. Naviner, Fault tolerant architectures in nanoelectronics: The progressive approach, 27th Southern Simposium of Microelectronics, 2012.

T. Ban and L. Naviner, Majority voter: Signal probability, reliability and error bound characteristics, 2012 IEEE 55th International Midwest Symposium on Circuits and Systems (MWSCAS), 2012.
DOI : 10.1109/MWSCAS.2012.6292076

URL : https://hal.archives-ouvertes.fr/hal-00728879

K. Liu, T. Ban, L. Naviner, and J. Naviner, Reliability analysis of a Reed-Solomon decoder, 2012 IEEE 55th International Midwest Symposium on Circuits and Systems (MWSCAS), 2012.
DOI : 10.1109/MWSCAS.2012.6292051

S. N. Pagliarini, L. A. De-barros, J. Naviner, and . Naviner, Selective hardening methodology for combinational logic, 2012 13th Latin American Test Workshop (LATW), 2012.
DOI : 10.1109/LATW.2012.6261262

URL : https://hal.archives-ouvertes.fr/hal-00695808

S. N. Pagliarini, L. A. De-barros, J. Naviner, and . Naviner, Selective hardening methodology concerning multiple faults, Nuclear and Space Radiation Effects Conference, 2012.
DOI : 10.1109/latw.2012.6261262

URL : https://hal-imt.archives-ouvertes.fr/hal-00695808/document

S. N. Pagliarini, G. G. , S. Jr, L. A. De-barros, J. Naviner et al., Exploring the feasibility of selective hardening for combinational logic, European Symposium on Reliability of Electron Devices, Failure Physics and Analysis, oct, 2012.
DOI : 10.1016/j.microrel.2012.06.042

W. Ibrahim and V. Beiu, Reliability of NAND-2 CMOS gates from threshold voltage variations, 2009 International Conference on Innovations in Information Technology (IIT), pp.135-139, 2009.
DOI : 10.1109/IIT.2009.5413631

P. Zarkesh-ha and A. Shahi, Logic Gate Failure Characterization for Nanoelectronic EDA Tools, 2010 IEEE 25th International Symposium on Defect and Fault Tolerance in VLSI Systems, pp.16-23, 2010.
DOI : 10.1109/DFT.2010.9