P. Basic-interconnection-models-of, 64 1.8 SPR matrix for the output of a 2-input OR gate, p.65

.. General-scheme-of-a-saboteur, 78 2.3 FIFA Timing diagram of communication signals, p.80

G. Moore, Cramming more components onto integrated circuits, Electronics, 1965.
DOI : 10.1109/jproc.1998.658762

M. Dragoman and D. Dragoman, Nanoelectronics: Principles and Devices, 2008.

M. Stanisavljevic, A. Schmid, and Y. Leblebici, Optimization of the Averaging Reliability Technique Using Low Redundancy Factors for Nanoscale Technologies, IEEE Transactions on Nanotechnology, vol.8, issue.3, pp.379-390, 2009.
DOI : 10.1109/TNANO.2008.2009761

M. Stan, P. Franzon, S. Goldstein, J. Lach, and M. Ziegler, Molecular electronics: from devices and interconnect to circuits and architecture, Proceedings of the IEEE, pp.1940-1957, 2003.
DOI : 10.1109/JPROC.2003.818327

M. Breuer, S. Gupta, and T. Mak, Defect and error tolerance in the presence of massive numbers of defects, IEEE Design and Test of Computers, vol.21, issue.3, pp.216-227, 2004.
DOI : 10.1109/MDT.2004.8

D. T. Franco, Signal Reliability of Combinational Logic Circuits under Multiple Simultaneous Faults, 2008.

J. Vial, A. Virazel, A. Bosio, P. Girard, C. Landrault et al., Is triple modular redundancy suitable for yield improvement?, IET Computers & Digital Techniques, vol.3, issue.6, pp.581-592, 2009.
DOI : 10.1049/iet-cdt.2008.0127

M. Mirza-aghatabar, M. Breuer, S. Gupta, and S. Nazarian, Theory of redundancy for logic circuits to maximize yield/area, Thirteenth International Symposium on Quality Electronic Design (ISQED), pp.663-671, 2012.
DOI : 10.1109/ISQED.2012.6187563

D. T. Franco, M. C. Vasconcelos, L. Naviner, and J. Naviner, Signal probability for reliability evaluation of logic circuits, Microelectronics Reliability, vol.48, issue.8-9, pp.8-9, 2008.
DOI : 10.1016/j.microrel.2008.07.002

M. De-vasconcelos, D. Franco, L. Naviner, and J. Naviner, Reliability analysis of combinational circuits based on a probabilistic binomial model, 2008 Joint 6th International IEEE Northeast Workshop on Circuits and Systems and TAISA Conference, pp.310-313, 2008.
DOI : 10.1109/NEWCAS.2008.4606383

M. Jeitler, M. Delvai, and S. Reichor, FuSE - a hardware accelerated HDL fault injection tool, 2009 5th Southern Conference on Programmable Logic (SPL), pp.89-94, 2009.
DOI : 10.1109/SPL.2009.4914906

P. Samudrala, J. Ramos, and S. Katkoori, Selective triple Modular redundancy (STMR) based single-event upset (SEU) tolerant synthesis for FPGAs, IEEE Transactions on Nuclear Science, vol.51, issue.5, pp.2957-2969, 2004.
DOI : 10.1109/TNS.2004.834955

M. C. Hansen, H. Yalcin, and J. P. Hayes, Unveiling the ISCAS-85 benchmarks: a case study in reverse engineering, IEEE Design & Test of Computers, vol.16, issue.3, pp.72-80, 1999.
DOI : 10.1109/54.785838

S. Mitra and E. Mccluskey, Word-voter: a new voter design for triple modular redundant systems, Proceedings 18th IEEE VLSI Test Symposium, pp.465-470, 2000.
DOI : 10.1109/VTEST.2000.843880

A. R. Burks and A. W. Burks, The First Electronic Computer: The Atanasoff Story, 1989.
DOI : 10.3998/mpub.12440

J. V. Neumann, Probabilistic Logics and the Synthesis of Reliable Organisms From Unreliable Components, Automata Studies, pp.1-56, 1956.
DOI : 10.1515/9781400882618-003

E. F. Moore and C. E. Shannon, Reliable circuits using less reliable relays, Journal of the Franklin Institute, vol.262, issue.3, pp.191-208, 1956.
DOI : 10.1016/0016-0032(56)90559-2

P. Lala, Transient and Permanent Fault Injection in VHDL Description of Digital Circuits, Circuits and Systems, pp.192-199, 2012.
DOI : 10.4236/cs.2012.32026

N. Miskov-zivanov and D. Marculescu, Multiple Transient Faults in Combinational and Sequential Circuits: A Systematic Approach, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.29, issue.10, pp.1614-1627, 2010.
DOI : 10.1109/TCAD.2010.2061131

S. N. Pagliarini, L. Alves-de-barros, J. Naviner, and . Naviner, Selective hardening methodology for combinational logic, 2012 13th Latin American Test Workshop (LATW), 2012.
DOI : 10.1109/LATW.2012.6261262

URL : https://hal.archives-ouvertes.fr/hal-00695808

A. Birolini, Quality and reliability of technical systems: theory, practice, management, 1994.

P. D. Connor and A. Kleyner, Practical reliability engineering, 2012.

M. Nanda and S. Rao, A modified and effective system-engineering life cycle for critical systems, 2010 IEEE International Systems Conference, pp.103-108, 2010.
DOI : 10.1109/SYSTEMS.2010.5482445

I. Software, Reliability prediction basics available: http://www. reliabilityeducation.com/ReliabilityPredictionBasics.pdf, 2007. [Online ; accessed 09, 2012.

J. Plante, Alternative test methods for electronic parts, tech. rep., NASA Electronic Parts and Packaging (NEPP) Program, 2004.

D. J. Smith, Reliability, Maintainability and Risk: Practical Methods for Engineers, 2011.

C. Constantinescu, Trends and challenges in VLSI circuit reliability, IEEE Micro, vol.23, issue.4, pp.14-19, 2003.
DOI : 10.1109/MM.2003.1225959

C. Constantinescu, Intermittent faults in VLSI circuits, Proceedings of IEEE Workshop on System Effects of Logic Soft Errors, 2006.

C. Constantinescu, Impact of deep submicron technology on dependability of VLSI circuits, Proceedings International Conference on Dependable Systems and Networks, pp.205-209, 2002.
DOI : 10.1109/DSN.2002.1028901

C. Constantinescu, Dependability benchmarking using environmental test tools, Proceedings of Reliability and Maintainability Symposium (RAMS), pp.567-571, 2005.
DOI : 10.1002/9780470370506.ch4

C. Constantinescu, Intermittent faults and effects on reliability of integrated circuits, 2008 Annual Reliability and Maintainability Symposium, pp.370-374, 2008.
DOI : 10.1109/RAMS.2008.4925824

R. Baumann, Radiation-induced soft errors in advanced semiconductor technologies, IEEE Transactions on Device and Materials Reliability, vol.5, issue.3, pp.305-316, 2005.
DOI : 10.1109/TDMR.2005.853449

S. Martinie, J. L. Autran, S. Sauze, D. Munteanu, S. Uznanski et al., Underground experiment and modeling of alpha emitters induced softerror rate in cmos 65 nm sram, IEEE Transactions on Nuclear Science, issue.99, p.1, 2012.
URL : https://hal.archives-ouvertes.fr/hal-01430088

T. Merelle, F. Saigne, B. Sagnes, G. Gasiot, P. Roche et al., Alpha induced SEU and MBU rates evaluation for advanced SRAMs by Monte-Carlo simulations, 2005 8th European Conference on Radiation and Its Effects on Components and Systems, pp.3-4, 2005.
DOI : 10.1109/RADECS.2005.4365589

I. Kuon, R. Tessier, and J. Rose, FPGA Architecture: Survey and Challenges, Foundations and Trends?? in Electronic Design Automation, vol.2, issue.2, pp.135-253, 2007.
DOI : 10.1561/1000000005

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.153.3122

J. Mccollum, ASIC versus antifuse FPGA reliability, 2009 IEEE Aerospace conference, pp.1-11, 2009.
DOI : 10.1109/AERO.2009.4839526

M. Asadi, B. Tahoori, D. Mullins, K. Kaeli, and . Granlund, Soft Error Susceptibility Analysis of SRAM-Based FPGAs in High-Performance Information Systems, IEEE Transactions on Nuclear Science, vol.54, issue.6, pp.2714-2726, 2007.
DOI : 10.1109/TNS.2007.910426

D. White, Considerations surrounding single event effects in FPGAs, ASICs, and processors, tech. rep., Xilinx FPGAs, 2012.

E. J. Mccluskey and F. W. Clegg, Fault equivalence in combinatorial logic networks, IEEE Transactions on Computers, vol.20, pp.1286-1293, 1971.

K. C. Mei, Bridging and Stuck-At Faults, IEEE Transactions on Computers, vol.23, issue.7, pp.720-727, 1974.
DOI : 10.1109/T-C.1974.224020

R. Ogus, The Probability of a Correct Output from a Combinational Circuit, IEEE Transactions on Computers, vol.24, issue.5, pp.534-544, 1975.
DOI : 10.1109/T-C.1975.224257

K. N. Patel, J. Hayes, and I. Markov, Evaluating circuit reliability under probabilistic gate-level fault models, Proceedings of the International Workshop on Logic and Synthesis, pp.59-64, 2003.

F. Faure, P. Peronnard, and R. Velazco, THESIC+: A flexible system for SEE testing, Proceedings of RADECS, 2002.

F. Faure, R. Velazco, and P. Peronnard, Single-event-upset-like fault injection: a comprehensive framework, IEEE Transactions on Nuclear Science, vol.52, issue.6, pp.2205-2209, 2005.
DOI : 10.1109/TNS.2005.860689

URL : https://hal.archives-ouvertes.fr/hal-00022050

G. Foucard, P. Peronnard, and R. Velazco, Reliability limits of TMR implemented in a SRAM-based FPGA: Heavy ion measures vs. fault injection predictions, Proceedings of 11th Latin American Test Workshop (LATW), pp.1-5, 2010.
URL : https://hal.archives-ouvertes.fr/hal-00672434

L. Entrena, M. Garcia-valderas, R. Fernandez-cardenal, A. Lindoso, M. Portela et al., Soft Error Sensitivity Evaluation of Microprocessors by Multilevel Emulation-Based Fault Injection, IEEE Transactions on Computers, vol.61, issue.3, pp.313-322, 2012.
DOI : 10.1109/TC.2010.262

R. E. Lyons and W. Vanderkulk, The Use of Triple-Modular Redundancy to Improve Computer Reliability, IBM Journal of Research and Development, vol.6, issue.2, pp.200-209, 1962.
DOI : 10.1147/rd.62.0200

N. Pippenger, Developments in ???The synthesis of reliable organisms from unreliable components???, Proceedings of Symposia in Pure Mathematics, pp.311-324, 1990.
DOI : 10.1090/pspum/050/1067764

N. Aymerich, S. D. Cotofana, and A. Rubio, Adaptive Fault-Tolerant Architecture for Unreliable Technologies With Heterogeneous Variability, IEEE Transactions on Nanotechnology, vol.11, issue.4, pp.818-829, 2012.
DOI : 10.1109/TNANO.2012.2199513

M. M. Dickinson, J. B. Jackson, and G. C. Randa, Saturn V launch vehicle digital computer and data adapter AFIPS '64 (Fall, part I): Proceedings of the, 1964.

L. Edmonds, Analysis of single-event upset rates in triple-modular redundancy devices, JPL Publication 09-6 ? National Aeronautics and Space Administration (NASA), 2009.

M. Cohn, Redundancy in complex computers, Proceedings of the National Conference on Aeronautical Electronics, 1956.

R. Hentschke, F. Marques, F. Lima, L. Carro, A. Susin et al., Analyzing area and performance penalty of protecting different digital modules with Hamming code and triple modular redundancy, Proceedings. 15th Symposium on Integrated Circuits and Systems Design, pp.95-100, 2002.
DOI : 10.1109/SBCCI.2002.1137643

L. Sterpone and M. Violante, Analysis of the robustness of the TMR architecture in SRAM-based FPGAs, IEEE Transactions on Nuclear Science, vol.52, issue.5, pp.1545-1549, 2005.
DOI : 10.1109/TNS.2005.856543

X. She and S. Trimberger, Scheme to minimise short effects of single-event upsets in triple-modular redundancy - RETRACTED, IET Computers & Digital Techniques, vol.4, issue.1, pp.50-55, 2010.
DOI : 10.1049/iet-cdt.2008.0157

L. Sterpone and N. Battezzati, A new placement algorithm for the mitigation of multiple cell upsets in SRAM-based FPGAs, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010), pp.1231-1236, 2010.
DOI : 10.1109/DATE.2010.5456995

A. Grnarov, J. Arlat, and A. Avizienis, Modeling of software fault-tolerance strategies, Proceedings of the 11th Annual Pittsburgh Modeling and Simulation Conference, pp.571-578, 1980.

R. B. Broen, New Voters for Redundant Systems, Journal of Dynamic Systems, Measurement, and Control, vol.97, issue.1, 1975.
DOI : 10.1115/1.3426869

P. R. Lorczak, A. K. Caglayan, and D. E. Eckhardt, A theoretical investigation of generalized voters for redudant systems, Proceedings of IEEE International Symposium on Fault-Tolerant Computing Systems, pp.444-451, 1989.

G. Latif-shabgahi and S. Bennett, Adaptive majority voter: a novel voting algorithm for real-time fault-tolerant control systems, Proceedings 25th EUROMICRO Conference. Informatics: Theory and Practice for the New Millennium, pp.113-120, 1999.
DOI : 10.1109/EURMIC.1999.794769

G. Latif-shabgahi, J. M. Bass, and S. Bennett, History-based weighted average voter: a novel software voting algorithm for fault-tolerant computer systems, Proceedings Ninth Euromicro Workshop on Parallel and Distributed Processing, pp.1-8, 2001.
DOI : 10.1109/EMPDP.2001.905068

Y. Dotan, N. Levison, R. Avidan, and D. Lilja, History Index of Correct Computation for Fault-Tolerant Nano-Computing, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.943-952, 2009.
DOI : 10.1109/TVLSI.2008.2012014

J. Bass, G. Latif-shabgahi, and S. Bennett, Experimental comparison of voting algorithms in cases of disagreement, EUROMICRO 97. Proceedings of the 23rd EUROMICRO Conference: New Frontiers of Information Technology (Cat. No.97TB100167), pp.516-523, 1997.
DOI : 10.1109/EURMIC.1997.617368

C. Zhao, Y. Zhao, and S. Dey, Intelligent Robustness Insertion for Optimal Transient Error Tolerance Improvement in VLSI Circuits, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.714-724, 2008.
DOI : 10.1109/TVLSI.2008.2000256

B. Pratt, M. Caffrey, P. Graham, K. Morgan, and M. Wirthlin, Improving FPGA Design Robustness with Partial TMR, 2006 IEEE International Reliability Physics Symposium Proceedings, pp.226-232, 2006.
DOI : 10.1109/RELPHY.2006.251221

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.125.6067

C. Zoellin, H. Wunderlich, I. Polian, and B. Becker, Selective Hardening in Early Design Steps, 2008 13th European Test Symposium, pp.185-190, 2008.
DOI : 10.1109/ETS.2008.30

X. She and P. K. Samudrala, Selective Triple Modular Redundancy for Single Event Upset (SEU) Mitigation, 2009 NASA/ESA Conference on Adaptive Hardware and Systems, pp.344-350, 2009.
DOI : 10.1109/AHS.2009.9

O. Ruano and J. Maestro, A Methodology for Automatic Insertion of Selective TMR in Digital Circuits Affected by SEUs, IEEE Transactions on Nuclear Science, vol.56, issue.4, pp.2091-2102, 2009.
DOI : 10.1109/TNS.2009.2014563

I. Polian and J. Hayes, Selective Hardening: Toward Cost-Effective Error Tolerance, IEEE Design & Test of Computers, vol.28, issue.3, pp.54-63, 2011.
DOI : 10.1109/MDT.2010.120

M. Augustin, M. Gossel, and R. Kraemer, Selective fault tolerance for finite state machines, 2011 IEEE 17th International On-Line Testing Symposium, pp.43-48, 2011.
DOI : 10.1109/IOLTS.2011.5993809

I. Polian, D. Nowroth, and B. Becker, Identification of Critical Errors in Imaging Applications, 13th IEEE International On-Line Testing Symposium (IOLTS 2007), pp.201-202, 2007.
DOI : 10.1109/IOLTS.2007.38

D. Nowroth, I. Polian, and B. Becker, A study of cognitive resilience in a JPEG compressor, 2008 IEEE International Conference on Dependable Systems and Networks With FTCS and DCC (DSN), pp.32-41, 2008.
DOI : 10.1109/DSN.2008.4630068

W. Zhang and T. Li, Microarchitecture soft error vulnerability characterization and mitigation under 3d integration technology, Proceedings of 41st IEEE/ACM International Symposium on Microarchitecture (MICRO), pp.435-446, 2008.

L. Antoni, R. Leveugle, and M. Feher, Using run-time reconfiguration for fault injection in hardware prototypes, 17th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2002. DFT 2002. Proceedings., pp.245-253, 2002.
DOI : 10.1109/DFTVS.2002.1173521

URL : https://hal.archives-ouvertes.fr/hal-00015042

E. C. Marques, N. M. Paiva, L. A. Naviner, and J. F. Naviner, A new fault generator suitable for reliability analysis of digital circuits, Proceedings of Argentine School of Micro-Nanoelectronics Technology and Applications (EAMTA), pp.41-45, 2010.

J. Boue, P. Petillon, and Y. Crouzet, MEFISTO-L: a VHDL-based fault injection tool for the experimental assessment of fault tolerance, Digest of Papers. Twenty-Eighth Annual International Symposium on Fault-Tolerant Computing (Cat. No.98CB36224), pp.168-173, 1998.
DOI : 10.1109/FTCS.1998.689467

J. C. Baraza, J. Gracia, D. Gil, and P. J. Gil, Improvement of fault injection techniques based on VHDL code modification, Tenth IEEE International High-Level Design Validation and Test Workshop, 2005., pp.19-26, 2005.
DOI : 10.1109/HLDVT.2005.1568808

K. Cheng, S. Huang, and W. Dai, Fault emulation: A new methodology for fault grading, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.18, issue.10, pp.1487-1495, 1999.
DOI : 10.1109/43.790625

D. Kammler, J. Guan, G. Ascheid, R. Leupers, and H. Meyr, A Fast and Flexible Platform for Fault Injection and Evaluation in Verilog-Based Simulations, 2009 Third IEEE International Conference on Secure Software Integration and Reliability Improvement, pp.309-314, 2009.
DOI : 10.1109/SSIRI.2009.38

I. Mavroidis and I. Papaefstathiou, Accelerating hardware simulation: Testbench code emulation, 2008 International Conference on Field-Programmable Technology, pp.129-136, 2008.
DOI : 10.1109/FPT.2008.4762375

P. Shivakumar, M. Kistler, S. W. Keckler, D. Burger, and L. Alvisi, Modeling the effect of technology trends on the soft error rate of combinational logic, Proceedings International Conference on Dependable Systems and Networks, pp.389-398, 2002.
DOI : 10.1109/DSN.2002.1028924

V. Chandra and R. Aitken, Impact of Technology and Voltage Scaling on the Soft Error Susceptibility in Nanoscale CMOS, 2008 IEEE International Symposium on Defect and Fault Tolerance of VLSI Systems, pp.114-122, 2008.
DOI : 10.1109/DFT.2008.50

M. K. Goparaju, A. K. Palaniswamy, and S. Tragoudas, A Fault Tolerance Aware Synthesis Methodology for Threshold Logic Gate Networks, 2008 IEEE International Symposium on Defect and Fault Tolerance of VLSI Systems, pp.176-183, 2008.
DOI : 10.1109/DFT.2008.44

N. Seifert, P. Slankard, M. Kirsch, B. Narasimham, V. Zia et al., Radiation-Induced Soft Error Rates of Advanced CMOS Bulk Devices, 2006 IEEE International Reliability Physics Symposium Proceedings, pp.217-225, 2006.
DOI : 10.1109/RELPHY.2006.251220

M. Breuer, An Illustrated Methodology for Analysis of Error Tolerance, IEEE Design & Test of Computers, vol.25, issue.2, 2008.
DOI : 10.1109/MDT.2008.30

E. C. Marques, G. G. Junior, L. A. Naviner, and J. F. Naviner, Effective metrics for reliability analysis, 2010 53rd IEEE International Midwest Symposium on Circuits and Systems, pp.237-240, 2010.
DOI : 10.1109/MWSCAS.2010.5548671

URL : https://hal.archives-ouvertes.fr/hal-00627132

G. Santos, E. Marques, and L. Naviner, Using error tolerance of target application for efficient reliability improvement of digital circuits, Microelectronics Reliability, vol.50, issue.9-11, 2010.
DOI : 10.1016/j.microrel.2010.07.147

S. Pagliarini, G. Santos, L. De, B. Naviner, and J. Naviner, Exploring the feasibility of selective hardening for combinational logic, Microelectronics Reliability, vol.52, issue.9-10, 2012.
DOI : 10.1016/j.microrel.2012.06.042

T. Ban and L. Naviner, Progressive module redundancy for fault-tolerant designs in nanoelectronics, Microelectronics Reliability, vol.51, issue.9-11, pp.1489-1492, 2011.
DOI : 10.1016/j.microrel.2011.06.020

URL : https://hal.archives-ouvertes.fr/hal-00637634

L. A. Naviner, J. F. Naviner, G. G. , S. Jr, E. C. Marques et al., FIFA: A fault-injection???fault-analysis-based tool for reliability assessment at RTL level, Microelectronics Reliability, vol.51, issue.9-11, pp.1459-1463, 2011.
DOI : 10.1016/j.microrel.2011.06.017

URL : https://hal.archives-ouvertes.fr/hal-00627134

E. C. Marques, L. A. De-barros, J. Naviner, and . Naviner, An efficient tool for reliability improvement based on TMR, Microelectronics Reliability, vol.50, issue.9-11, pp.9-11, 2010.
DOI : 10.1016/j.microrel.2010.07.095

F. Brglez and H. Fujiwara, A neutral netlist of 10 combinatorial benchmark circuits and a target translator in FORTRAN, Proceedings of International Symposium on Circuits and Systems, pp.663-698, 1985.

I. Polian, S. Reddy, and B. Becker, Scalable Calculation of Logical Masking Effects for Selective Hardening Against Soft Errors, 2008 IEEE Computer Society Annual Symposium on VLSI, pp.257-262, 2008.
DOI : 10.1109/ISVLSI.2008.22

Q. Zhou and K. Mohanram, Cost-effective radiation hardening technique for combinational logic, Proceedings of IEEE/ACM International Conference on Computer Aided Design (ICCAD), pp.100-106, 2004.

X. Wang, Partitioning triple modular redundancy for single event upset mitigation in FPGA, Proceedings of International Conference on E-Product E-Service and E-Entertainment (ICEEE), pp.1-4, 2010.

B. Bridgford, C. Carmichael, and C. W. Tseng, Single-event upset mitigation selection guide, tech. rep, 2008.

F. Kastensmidt, L. Sterpone, L. Carro, and M. Reorda, On the Optimal Design of Triple Modular Redundancy Logic for SRAM-based FPGAs, Design, Automation and Test in Europe, pp.1290-1295, 2005.
DOI : 10.1109/DATE.2005.229

URL : https://hal.archives-ouvertes.fr/hal-00181306

N. K. Jha and S. J. Wang, Design and synthesis of self-checking VLSI circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.12, issue.6, pp.878-887, 1993.
DOI : 10.1109/43.229762

T. Stankovic, M. Stojcev, and G. Djordjevic, Design of self-checking combinational circuits, 6th International Conference on Telecommunications in Modern Satellite, Cable and Broadcasting Service, 2003. TELSIKS 2003., pp.763-768, 2003.
DOI : 10.1109/TELSKS.2003.1246335

M. Abd-el-barr, Design And Analysis of Reliable And Fault-tolerant Computer Systems, 2006.
DOI : 10.1142/p457

N. Touba and E. Mccluskey, Logic synthesis of multilevel circuits with concurrent error detection, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.16, issue.7, pp.783-789, 1997.
DOI : 10.1109/43.644041

J. Berger, A note on error detection codes for asymmetric channels, Information and Control, vol.4, issue.1, pp.68-73, 1961.
DOI : 10.1016/S0019-9958(61)80037-5

D. Pradhan, A New Class of Error-Correcting/Detecting Codes for Fault-Tolerant Computer Applications, IEEE Transactions on Computers, vol.29, issue.6, pp.471-481, 1980.
DOI : 10.1109/TC.1980.1675606

M. J. Ashjaee, Totally-self-checking check circuits for separable codes, Iowa City, 1976.

M. A. Marouf and A. D. Friedman, Design of self-checking checkers for Berger codes, Proceedings of International Symposium on Fault-Tolerant Computing (FTCS), pp.179-184, 1978.

S. Piestrak, Comments on "Novel totally self-checking Berger checker designs based on generalized Berger code partitioning, IEEE Transactions on Computers, vol.51, issue.6, pp.735-736, 2002.
DOI : 10.1109/TC.2002.1009156

T. Rao, G. Feng, M. Kolluru, and J. Lo, Novel totally self-checking Berger code checker designs based on generalized Berger code partitioning, IEEE Transactions on Computers, vol.42, issue.8, pp.1020-1024, 1993.
DOI : 10.1109/12.238498

S. Piestrak, Design method of a class of embedded combinational self-testing checkers for two-rail codes, IEEE Transactions on Computers, vol.51, issue.2, pp.229-234, 2002.
DOI : 10.1109/12.980010

B. Bose and D. J. Lin, Systematic Unidirectional Error-Detecting Codes, IEEE Transactions on Computers, vol.34, issue.11, pp.1026-1032, 1985.
DOI : 10.1109/TC.1985.1676535

B. Bose, Burst Unidirectional Error-Detecting Codes, IEEE Transactions on Computers, vol.35, issue.4, pp.350-353, 1986.
DOI : 10.1109/TC.1986.1676768

N. Jha, Design of totally self-checking checkers for Bose-Lin, Bose and Blaum codes, Proceedings of the 32nd Midwest Symposium on Circuits and Systems, pp.32-35, 1989.

X. Kavousianos and D. Nikolos, Modular TSC checkers for Bose-Lin and Bose codes, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146), pp.354-360, 1999.
DOI : 10.1109/VTEST.1999.766689

S. Tarnick, Self-testing embedded checkers for Bose-Lin, Bose, and a class of Borden codes, 2003 Design, Automation and Test in Europe Conference and Exhibition, pp.1162-1163, 2003.
DOI : 10.1109/DATE.2003.1253782

S. Tarnick, Single-output embedded checkers for systematic unordered codes, Proceedings. 10th IEEE International On-Line Testing Symposium, pp.45-51, 2004.
DOI : 10.1109/OLT.2004.1319658

J. M. Borden, Optimal asymmetric error detecting codes, Information and Control, vol.53, issue.1-2, pp.66-73, 1982.
DOI : 10.1016/S0019-9958(82)91125-1

URL : http://doi.org/10.1016/s0019-9958(82)91125-1

N. Jha, A totally self-checking checker for Borden's code, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.8, issue.7, pp.731-736, 1989.
DOI : 10.1109/43.31530

T. Haniotakis, A. Paschalis, and D. Nikolos, Efficient totally self-checking checkers for a class of Borden codes, IEEE Transactions on Computers, vol.44, issue.11, pp.1318-1322, 1995.
DOI : 10.1109/12.475127

G. Biswas and I. Sengupta, A design technique of TSC checker for Borden's code, Proceedings Tenth International Conference on VLSI Design, pp.529-530, 1997.
DOI : 10.1109/ICVD.1997.568199

S. Tarnick, Embedded Borden 2-UED Code Checkers, 12th IEEE International On-Line Testing Symposium (IOLTS'06), pp.173-175, 2006.
DOI : 10.1109/IOLTS.2006.27

R. Hamming, Error Detecting and Error Correcting Codes, Bell System Technical Journal, vol.29, issue.2, 1950.
DOI : 10.1002/j.1538-7305.1950.tb00463.x

A. Saleh, J. Serrano, and J. Patel, Reliability of scrubbing recovery-techniques for memory systems, IEEE Transactions on Reliability, vol.39, issue.1, pp.114-122, 1990.
DOI : 10.1109/24.52622

G. Neuberger, F. Lima, L. Carro, and R. Reis, A multiple bit upset tolerant SRAM memory, ACM Transactions on Design Automation of Electronic Systems, vol.8, issue.4, 2003.
DOI : 10.1145/944027.944038

C. Argyrides, H. Zarandi, and D. Pradhan, Multiple Upsets Tolerance in SRAM Memory, 2007 IEEE International Symposium on Circuits and Systems, pp.365-368, 2007.
DOI : 10.1109/ISCAS.2007.378465

F. Maison, The MECRA: A Self-Reconfigurable Computer for Highly Reliable Process, IEEE Transactions on Computers, vol.20, issue.11, pp.1382-1388, 1971.
DOI : 10.1109/T-C.1971.223143

E. Prange, Cyclic error-correcting codes in two symbols, 1957.

W. W. Peterson and D. T. Brown, Cyclic Codes for Error Detection, Proceedings of the IRE, pp.228-235, 1961.
DOI : 10.1109/JRPROC.1961.287814

L. Zetterberg, Cyclic codes from irreducible polynomials for correction of multiple errors, IEEE Transactions on Information Theory, vol.8, issue.1, pp.13-20, 1962.
DOI : 10.1109/TIT.1962.1057682

S. Dodunekov and J. Nilsson, Algebraic decoding of the Zetterberg codes, IEEE Transactions on Information Theory, vol.38, issue.5, pp.1570-1573, 1992.
DOI : 10.1109/18.149509

M. Jing, Y. Chang, C. Lee, J. Chen, and Z. Chen, A Result on Zetterberg Codes, IEEE Communications Letters, vol.14, issue.7, pp.662-663, 2010.
DOI : 10.1109/LCOMM.2010.07.100784

I. S. Reed and G. Solomon, Polynomial Codes Over Certain Finite Fields, Journal of the Society for Industrial and Applied Mathematics, vol.8, issue.2, pp.300-304, 1960.
DOI : 10.1137/0108018

G. Cardarilli, M. Ottavi, S. Pontarelli, M. Re, and A. Salsano, Fault tolerant solid state mass memory for space applications, IEEE Transactions on Aerospace and Electronic Systems, vol.41, issue.4, pp.1353-1372, 2005.
DOI : 10.1109/TAES.2005.1561889

A. Thompson, Evolving electronic robot controllers that exploit hardware resources, Proceedings of the Third European Conference on Advances in Artificial Life, pp.640-656, 1995.
DOI : 10.1007/3-540-59496-5_332

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.43.8439

G. W. Greenwood and A. M. Tyrrell, Introduction to evolvable hardware: A Practical Guide for Designing Self-Adaptive Systems, 2006.
DOI : 10.1002/0470049715

A. Thompson, Evolving fault tolerant systems, 1st International Conference on Genetic Algorithms in Engineering Systems: Innovations and Applications (GALESIA), pp.524-529, 1995.
DOI : 10.1049/cp:19951102

D. Keymeulen, R. Zebulum, Y. Jin, and A. Stoica, Fault-tolerant evolvable hardware using field-programmable transistor arrays, IEEE Transactions on Reliability, vol.49, issue.3, pp.305-316, 2000.
DOI : 10.1109/24.914547

J. Miller and M. Hartmann, Evolving messy gates for fault tolerance: some preliminary findings, Proceedings Third NASA/DoD Workshop on Evolvable Hardware. EH-2001, pp.116-123, 2001.
DOI : 10.1109/EH.2001.937953

R. Canham and A. Tyrrell, Evolved fault tolerance in evolvable hardware, Proceedings of the 2002 Congress on Evolutionary Computation. CEC'02 (Cat. No.02TH8600), pp.1267-1271, 2002.
DOI : 10.1109/CEC.2002.1004425

G. V. Larchev and J. D. Lohn, Evolutionary based techniques for fault tolerant field programmable gate arrays, Proceedings of International Conference on Space Mission Challenges for Information Technology, pp.1-8, 2006.

T. Schnier and X. Yao, Using Negative Correlation to Evolve Fault-Tolerant Circuits, Proceedings of 5th International Conference on Evolvable Systems: From Biology to Hardware, pp.35-46, 2003.
DOI : 10.1007/3-540-36553-2_4

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.104.8312

G. Greenwood and M. Joshi, Evolving fault tolerant digital circuitry: Comparing population-based and correlation-based methods, 2009 IEEE Congress on Evolutionary Computation, pp.2796-2801, 2009.
DOI : 10.1109/CEC.2009.4983293

C. Maxfield, The Design Warrior's Guide to FPGAs: Devices, Tools and Flows. Newnes, 2004.

E. Hamdy, J. Mccollum, S. Chen, and S. Chiang, Dielectric based antifuse for logic and memory ICs, Technical Digest., International Electron Devices Meeting, 1988.
DOI : 10.1109/IEDM.1988.32929

N. G. Jacobson, The In-System Configuration Handbook: A Designer's Guide to ISC, 2003.
DOI : 10.1007/978-1-4615-0489-4

J. Wang, B. Cronquist, J. Mccollum, F. Hawley, D. Yu et al., Total dose and SEE of metal-to-metal antifuse FPGA, Proceedings of 2nd Conference on Military and Aerospace Applications of Programmable Devices and Technologies, 1999.

. Quicklogic, Quicklogic reliability report, pp.1-21, 1998.

K. Gordon and R. Wong, Conducting filament of the programmed metal electrode amorphous silicon antifuse, Proceedings of IEEE International Electron Devices Meeting, pp.27-30, 1993.
DOI : 10.1109/IEDM.1993.347406

. Quicklogic, Security in quicklogic devices QuickLogic White Paper, pp.1-10, 2002.

B. Matas and C. D. Subercasaux, Complete coverage of DRAM, SRAM, EPROM, and flash memory ICs, Integrated Circuit Engineering Corp, 1997.

. Microsemi, ProASIC3 fpga fabric user's guide, 2011.

. Microsemi, ProASIC3 flash family FPGAs datasheet, 2012.

A. Corporation, Stratix V device handbook, 2012.

A. Corporation, Arria V device handbook, 2012.

A. Corporation, Cyclone V device handbook, volume 1: Device overview and datasheet, 2011.

A. Corporation, Hardcopy IV device handbook, 2012.

. Xilinx, Xilinx DS150 virtex-6 family overview, 2009.

C. Souza, IP columns support app-specific FPGAs available: http://eetimes. com/electronics-news/4046520/IP-columns-support-app-specific-FPGAs, 2003.

]. P. Alfke, Xilinx spartan-6 FPGA user guide lite
DOI : 10.1109/hotchips.2009.7478379

. Xilinx, Spartan-6 FPGA configurable logic block, 2010.

S. Bapat, Easypath-6 technology: Fast, simple, risk-free FPGA cost reduction, tech. rep, 2009.

. Actel, IGLOO r handbook, 2008.

. Actel, Fusion r handbook, 2008.

. Actel, ProASIC r 3 handbook, 2008.

. Actel, 40MX and 42MX FPGA families, 2009.