.. Le-plan-composite-centré-avec-deux-facteurs, xxiv 10 L'approche bottom-up pour, p.11

.. Unefrontì-ere-paretò-a-deux-objectifs and .. Xxviii-14-l-'entrée-et-sortie-d-'un-modulateur-??-dan-le-domaine-temporel, xxvii 13 L'architecture générale d'un modulateur xxix 15 Entrée et sortie d'un modulateur ?? dan le domaine des fréquences, xxxii 19 La performance de dégradation versus la faillant du block xxxv 22 Les dégradation des circuits de l'horloge induits par NBTI. . . . . . . . . . xxxvi 23 Le output spectre avec les effets de jitter: 1 . . . . . . . . . . . . . . . . . . xxxvii 24 Le output spectre avec les effets de jitter

B. Figure, 1: The block diagram of Sigma-Delta ADC designed with Simulink T M

P. Yang and J. Chern, Design for reliability: the major challenge for VLSI, Proceedings of the IEEE, pp.730-744, 1993.
DOI : 10.1109/5.220904

B. E. Boser and B. A. Wooley, The design of sigma-delta modulation analog-to-digital converters. Solid-State Circuits, IEEE Journal, vol.23, issue.6, pp.1298-1308, 1988.

A. Gerosa, A. Maniero, and A. Neviani, A fully integrated two-channel a/d interface for the acquisition of cardiac signals in implantable pacemakers. Solid-State Circuits, IEEE Journal, vol.39, issue.7, pp.1083-1093, 2004.

M. White and J. B. Bernstein, Microelectronics reliability: Physics-of-failure based modeling and lifetime evaluation. NASA technical report JPL Publication, 2008.

H. Iwai, Roadmap for 22nm and beyond (Invited Paper), Microelectronic Engineering, vol.86, issue.7-9, pp.1520-1528, 2009.
DOI : 10.1016/j.mee.2009.03.129

L. Oshiro and R. Radojcic, A design reliability methodology for CMOS VLSI circuits. Integrated Reliability Workshop, Final Report., International, pp.34-39, 1995.

M. Stanisavljevic, A. Schmid, and Y. Leblebici, Reliability of Nanoscale Circuits and Systems -Methodologies and Circuit Architectures, 2011.

H. Cai, H. Petit, and J. Naviner, Reliability aware design of low power continuoustime sigma-delta modulator. Microelectronics Reliability, pp.9-111449, 2011.

H. Cai, H. Petit, and J. Naviner, A Hierarchical Reliability Simulation Methodology for AMS Integrated Circuits and Systems, Journal of Low Power Electronics, vol.8, issue.5, pp.697-705, 2012.
DOI : 10.1166/jolpe.2012.1228

H. Cai, H. Petit, and J. Naviner, A statistical method for transistor ageing and process variation applied to reliability simulation, 3rd European Workshop on CMOS variability, pp.49-52, 2012.

H. Cai, H. Petit, and J. Naviner, Computer-aided reliability-aware approach for analog/mixed integrated circuits and systems, Journées Nationales du Réseau Doctoral de Microélectronique (JNRDM), 2013.
URL : https://hal.archives-ouvertes.fr/hal-01246974

H. Cai, H. Petit, and J. Naviner, A fast reliability-aware approach for analogue integrated circuits based on Pareto fronts, 2013 IEEE 11th International New Circuits and Systems Conference (NEWCAS), 2013.
DOI : 10.1109/NEWCAS.2013.6573648

H. Cai, H. Petit, and J. Naviner, NBTI effects on clock uncertainty and applications in continuous-time sigma-delta modulator, pp.7-12, 2013.

H. Cai, H. Petit, and J. Naviner, Reliability analysis of continuous-time sigmadelta modulators, European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF), 2011.

K. Liu, T. An, H. Cai, L. Alves-de-barros-naviner, J. Naviner et al., A general cost-effective design structure for probabilistic-based noise-tolerant logic functions in nanometer CMOS technology, Eurocon 2013, 2013.
DOI : 10.1109/EUROCON.2013.6625225

B. S. Dhillon, Engineering reliability management. Selected Areas in Communications, IEEE Journal on, vol.4, issue.7, pp.1015-1020, 1986.

G. Gielen, P. De-wit, E. Maricau, J. Loeckx, J. Martin-martinez et al., Emerging yield and reliability challenges in nanometer CMOS technologies, Proc. Design, Automation and Test, pp.1322-1327, 2008.

C. Forzan and D. Pandini, Statistical static timing analysis: A survey, Integration, the VLSI Journal, vol.42, issue.3, pp.409-435, 2009.
DOI : 10.1016/j.vlsi.2008.10.002

M. Ramdani, E. Sicard, A. Boyer, S. Ben-dhia, J. J. Whalen et al., The Electromagnetic Compatibility of Integrated Circuits—Past, Present, and Future, IEEE Transactions on Electromagnetic Compatibility, vol.51, issue.1, pp.78-100, 2009.
DOI : 10.1109/TEMC.2008.2008907

A. Maheshwari, W. Burleson, and R. Tessier, Trading off transient fault tolerance and power consumption in deep submicron (DSM) VLSI circuits. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.12, issue.3, pp.299-311, 2004.

J. Sosnowski, Transient fault tolerance in digital systems, IEEE Micro, vol.14, issue.1, pp.24-35, 1994.
DOI : 10.1109/40.259897

N. Tega, H. Miki, M. Yamaoka, H. Kume, T. Mine et al., Impact of threshold voltage fluctuation due to random telegraph noise on scaled-down SRAM, Reliability Physics Symposium, pp.541-546, 2008.

S. M. Amoroso, A. Ghetti, A. R. Brown, A. Mauri, C. M. Compagnoni et al., Impact of cell shape on random telegraph noise in decananometer flash memories. Electron Devices, IEEE Transactions on, issue.10, pp.592774-2779, 2012.

M. Singh and I. Koren, Fault sensitivity analysis and reliability enhancement of analog-to-digital converters. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.11, issue.5, pp.839-852, 2003.

M. Singh and I. Koren, Fault-sensitivity analysis and reliability enhancement of analog-to-digital converters. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.11, issue.5, pp.839-852, 2003.

E. Maricau and G. Gielen, Computer-aided analog circuit design for reliability in nanometer CMOS. IEEE transactions on emerging and selected topics in circuits and systems, pp.50-58, 2011.

V. Huard, N. Ruiz, F. Cacho, and E. Pion, A bottom-up approach for system-on-chip reliability. Tutorial session of 22th European Symposium on Reliability of Electron Devices, Failure Physics and Analysis, 2011.

P. S. Zuchowski, P. A. Habitz, J. D. Hayes, and J. H. Oppold, Process and environmental variation impacts on ASIC timing, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004., pp.336-342, 2004.
DOI : 10.1109/ICCAD.2004.1382597

A. R. Brown, G. Roy, and A. Asenov, Poly-Si-Gate-Related Variability in Decananometer MOSFETs With Conventional Architecture, IEEE Transactions on Electron Devices, vol.54, issue.11, pp.3056-3063, 2007.
DOI : 10.1109/TED.2007.907802

T. Chawla, S. Marchal, A. Amara, and A. Vladimirescu, Local mismatch in 45nm digital clock networks. Integrated Circuits, ISIC '09, Proceedings of the 2009 12th International Symposium on, pp.466-469, 2009.

A. Asenov, Random dopant induced threshold voltage lowering and fluctuations in sub-0.1 ??m MOSFET's: A 3-D "atomistic" simulation study, IEEE Transactions on Electron Devices, vol.45, issue.12, pp.2505-2513, 1998.
DOI : 10.1109/16.735728

A. Asenov, 3D statistical simulation of intrinsic fluctuations in decanano MOSFETs induced by discrete dopants, oxide thickness fluctuations and ler, International Conference on Simulation of Semiconductor Processes and Devices, pp.162-169, 2001.

Y. Ye, F. Liu, M. Chen, S. Nassif, and Y. Cao, Statistical modeling and simulation of threshold variation under random dopant fluctuations and line-edge roughness. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.19, issue.6, pp.987-996, 2011.

A. Asenov, S. Kaya, and J. H. Davies, Intrinsic threshold voltage fluctuations in decanano MOSFETs due to local oxide thickness variations, IEEE Transactions on Electron Devices, vol.49, issue.1, pp.112-119, 2002.
DOI : 10.1109/16.974757

M. J. Pelgrom, C. Aad, A. P. Duinmaijer, and . Welbers, Matching properties of MOS transistors. Solid-State Circuits, IEEE Journal, vol.24, issue.5, pp.1433-1439

A. A. Mutlu and M. Rahman, Statistical methods for the estimation of process variation effects on circuit operation. Electronics Packaging Manufacturing, IEEE Transactions on, vol.28, issue.4, pp.364-375, 2005.

Y. Miura and Y. Matukura, Investigation of Silicon-Silicon Dioxide Interface Using MOS Structure, Japanese Journal of Applied Physics, vol.5, issue.2, p.180, 1966.
DOI : 10.1143/JJAP.5.180

W. Wang, Circuits aging in scaled CMOS design: modeling, simulation and prediction, 2008.

R. Vattikonda, W. Wang, and Y. Cao, Modeling and minimization of PMOS NBTI effect for robust nanometer design, Proceedings of the 43rd annual conference on Design automation , DAC '06, pp.43-1047, 2006.
DOI : 10.1145/1146909.1147172

G. Gielen, E. Maricau, P. De, and . Wit, Analog circuit reliability in sub-32 nanometer CMOS: Analysis and mitigation, 2011 Design, Automation & Test in Europe, pp.1-6, 2011.
DOI : 10.1109/DATE.2011.5763239

X. Li, J. Qin, and J. B. Bernstein, Compact Modeling of MOSFET Wearout Mechanisms for Circuit-Reliability Simulation, IEEE Transactions on Device and Materials Reliability, vol.8, issue.1, pp.98-121, 2008.
DOI : 10.1109/TDMR.2008.915629

J. W. Mcpherson, Reliability challenges for 45nm and beyond, Proceedings of the 43rd annual conference on Design automation , DAC '06, pp.176-181, 2006.
DOI : 10.1145/1146909.1146959

O. Kjell, C. M. Jeppson, and . Svensson, Negative bias stress of MOS devices at high electric fields and degradation of mnos devices, Journal of Applied Physics, vol.48, issue.5, pp.2004-2014

M. A. Alam, H. Kufluoglu, D. Varghese, and S. Mahapatra, A comprehensive model of PMOS NBTI degradation, Microelectronics Reliability, vol.45, issue.1, pp.47853-862, 2007.
DOI : 10.1016/j.microrel.2004.03.019

J. H. Stathis and S. Zafar, The negative bias temperature instability in MOS devices: A review, Microelectronics Reliability, vol.46, issue.2-4, pp.270-286, 2006.
DOI : 10.1016/j.microrel.2005.08.001

E. Maricau and G. Gielen, Transistor aging-induced degradation of analog circuits: Impact analysis and design guidelines, 2011 Proceedings of the ESSCIRC (ESSCIRC), pp.243-246, 2011.
DOI : 10.1109/ESSCIRC.2011.6044952

J. H. Stathis, Percolation models for gate oxide breakdown, Journal of Applied Physics, vol.86, issue.10, pp.5757-5766, 1999.
DOI : 10.1063/1.371590

J. H. Stathis, Physical and predictive models of ultrathin oxide reliability in CMOS devices and circuits. Device and Materials Reliability, IEEE Transactions on, vol.1, issue.1, pp.43-59

Y. Ernest, J. Wu, and . Suné, Power-law voltage acceleration: A key element for ultra-thin gate oxide reliability. Microelectronics Reliability, pp.1809-1834, 2005.

J. Lienig, introduction to electromigration-aware physical design, Proceedings of the 2006 international symposium on Physical design , ISPD '06, pp.39-46, 2006.
DOI : 10.1145/1123008.1123017

J. B. Bernstein, M. Gurfinkel, X. Li, J. Walters, Y. Shapira et al., Electronic circuit reliability modeling. Microelectronics Reliability, pp.1957-1979, 2006.

A. Fischer, . Abel, A. Lepper, . Zitzelsberger, and . Glasow, Modeling bimodal electromigration failure distributions. Microelectronics Reliability, pp.445-453, 2001.

S. Pae, A. Ashok, J. Choi, T. Ghani, J. He et al., Reliability characterization of 32nm high-K and Metal-Gate logic transistor technology, 2010 IEEE International Reliability Physics Symposium, pp.287-292, 2010.
DOI : 10.1109/IRPS.2010.5488814

R. Degraeve, M. Aoulaiche, B. Kaczer, P. Roussel, T. Kauerauf et al., Review of reliability issues in high-k/metal gate stacks, 2008 15th International Symposium on the Physical and Failure Analysis of Integrated Circuits, pp.1-6, 2008.
DOI : 10.1109/IPFA.2008.4588195

S. Gary, C. J. May, and . Spanos, Fundamentals of Semiconductor Manufacturing and Process Control, 2006.

C. Ha, Reliability-Yield Allocation for Semiconductor Integrated Circuits: Modeling and Optimization, 2004.

M. Buhler, J. Koehl, J. Bickford, J. Hibbeler, U. Schlichtmann et al., Date 2006 special session: DFM/DFY design for manufacturability and yield -influence of process variations in digital, analog and mixedsignal circuit design, Design, Automation and Test in Europe DATE '06. Proceedings, pp.1-6, 2006.

X. Pan and H. Graeb, Reliability optimization of analog integrated circuits considering the trade-off between lifetime and area. Microelectronics Reliability, pp.1559-1564, 2012.

X. Pan and H. Graeb, Degradation-aware analog design flow for lifetime yield analysis and optimization, 2009 16th IEEE International Conference on Electronics, Circuits and Systems, (ICECS 2009), pp.667-670, 2009.
DOI : 10.1109/ICECS.2009.5410811

E. Maricau and G. Gielen, Efficient variability-aware NBTI and hot carrier circuit reliability analysis. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.29, issue.12, pp.1884-1893, 2010.

X. Li, J. Qin, B. Huang, X. Zhang, and J. B. Bernstein, A New SPICE Reliability Simulation Method for Deep Submicrometer CMOS VLSI Circuits, IEEE Transactions on Device and Materials Reliability, vol.6, issue.2, pp.247-257, 2006.
DOI : 10.1109/TDMR.2006.876572

P. Ramachandran, S. V. Adve, P. Bose, J. A. Rivers, and J. Srinivasan, Metrics for lifetime reliability. UIUC CS technical report UIUCDCS-R, 2006.

Y. Wang and S. Cotofana, A novel virtual age reliability model for Time-to-Failure prediction, 2010 IEEE International Integrated Reliability Workshop Final Report, pp.102-105, 2010.
DOI : 10.1109/IIRW.2010.5706498

P. Ramachandran, S. V. Adve, P. Bose, and J. A. Rivers, Metrics for architecturelevel lifetime reliability analysis. In Performance Analysis of Systems and software, ISPASS 2008. IEEE International Symposium on, pp.202-212, 2008.

E. Maricau, P. De-wit, and G. Gielen, An analytical model for hot carrier degradation in nanoscale CMOS suitable for the simulation of degradation in analog IC applications, Microelectronics Reliability, vol.48, issue.8-9, pp.8-91576, 2008.
DOI : 10.1016/j.microrel.2008.06.016

P. Roussel, B. Kaczer, R. Degraeve, and G. Groeseneken, Gate oxide breakdown in fet devices and circuits: From nanoscale physics to system-level reliability, Journal of Applied Physic, vol.47, pp.4-5559, 2007.

R. Chevallier, S. Cheffah, V. Huard, and A. Bravaix, Soft oxide breakdown impact on the functionality of a 40 nm SRAM memory, International Conference on Reliability Physics Symposium (IRPS), pages CR.3.1?CR.3.2, 2011.

R. Degraeve, B. Kaczer, A. D. Keersgieter, and G. Groeseneken, Relation between breakdown mode and breakdown location in short channel NMOSFETs and its impact on reliability specifications, 2001 IEEE International Reliability Physics Symposium Proceedings. 39th Annual (Cat. No.00CH37167), pp.360-366, 2001.
DOI : 10.1109/RELPHY.2001.922928

B. Kaczer, R. Degraeve, M. Rasras, K. Van-de-mieroop, P. J. Roussel et al., Impact of MOSFET gate oxide breakdown on digital circuit operation and reliability, IEEE Transactions on Electron Devices, vol.49, issue.3, pp.500-506, 2002.
DOI : 10.1109/16.987122

F. Marc, B. Mongellaz, and Y. Danto, Reliability Simulation of Electronic Circuits with VHDL-AMS, Languages for System Specification, 2004.
DOI : 10.1007/1-4020-7991-5_14

URL : https://hal.archives-ouvertes.fr/hal-00181903

C. Hu, IC reliability simulation, IEEE Journal of Solid-State Circuits, vol.27, issue.3, pp.241-246, 1992.
DOI : 10.1109/4.121544

X. Xuan, A. Chatterjee, A. D. Singh, N. P. Kim, and M. T. Chisa, Ic reliability simulator aret and its application in design-for-reliability, Test Symposium, 2003. ATS 2003. 12th Asian, pp.18-21, 2003.

W. Hsu, B. J. Sheu, S. M. Gowda, and C. Hwang, Advanced integratedcircuit reliability simulation including dynamic stress effects. Solid-State Circuits, IEEE Journal, vol.27, issue.3, pp.247-257, 1992.

C. Bestory, F. Marc, and H. Levi, Multi-level modeling of hot carrier injection for reliability simulation using VHDL-AMS, Forum on specification and design language, 2006.
URL : https://hal.archives-ouvertes.fr/hal-00181895

C. Bestory, F. Marc, and H. Levi, Statistical analysis during the reliability simulation . Microelectronics Reliability, pp.1353-1357, 2007.
URL : https://hal.archives-ouvertes.fr/hal-00326542

P. M. Ferreira, Méthodologie de conception AMS/RF pour la fiabilité: conception d'un frontal RF fiabilisé, 2011.

V. Veetil, D. Sylvester, and D. Blaauw, Efficient Monte Carlo based incremental statistical timing analysis, Proceedings of the 45th annual conference on Design automation, DAC '08, pp.676-681, 2008.
DOI : 10.1145/1391469.1391645

J. Jaffari and M. Anis, On efficient Monte Carlo-based Statistical Static Timing Analysis of digital circuits, 2008 IEEE/ACM International Conference on Computer-Aided Design, pp.196-203, 2008.
DOI : 10.1109/ICCAD.2008.4681574

J. Kim, K. D. Jones, and M. A. Horowitz, Fast, non-monte-carlo estimation of transient performance variation due to device mismatch, Proc. of IEEE/ACM on DAC, pp.440-443, 2007.

E. Maricau and G. Gielen, Variability-aware reliability simulation of mixed-signal ICs with quasi-linear complexity. Design, Automation Test in Europe Conference Exhibition, pp.1094-1099, 2010.

K. J. Antreich, H. E. Graeb, and C. U. Wieser, Circuit analysis and optimization driven by worst-case distances. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.13, issue.1, pp.57-71, 1994.

X. Pan and H. Graeb, Reliability analysis of analog circuits using quadratic lifetime worst-case distance prediction, IEEE Custom Integrated Circuits Conference 2010, pp.1-4, 2010.
DOI : 10.1109/CICC.2010.5617446

P. M. Ferreira, H. Petit, and J. Naviner, WLAN/WiMAX RF front-end reliability analysis, Argentine School of Micro-Nanoelectronics Technology and Applications (EAMTA), pp.46-49, 2010.

H. Filiol, I. O. Connor, and D. Morche, Piecewise-polynomial modeling for analog circuit performance metrics, 2009 European Conference on Circuit Theory and Design, pp.237-240, 2009.
DOI : 10.1109/ECCTD.2009.5274935

J. Martin-martinez, R. Rodriguez, M. Nafria, and X. Aymerich, Time-Dependent Variability Related to BTI Effects in MOSFETs: Impact on CMOS Differential Amplifiers, IEEE Transactions on Device and Materials Reliability, vol.9, issue.2, pp.305-310, 2009.
DOI : 10.1109/TDMR.2009.2019762

M. B. Yelten, P. D. Franzon, and M. B. Steer, Surrogate-model-based analysis of analog circuits; part i: Variability analysis. Device and Materials Reliability, IEEE Transactions on, vol.11, issue.3, pp.458-465, 2011.

M. B. Yelten, P. D. Franzon, and M. B. Steer, Surrogate-model-based analysis of analog circuits; part ii: Reliability analysis. Device and Materials Reliability, IEEE Transactions on, vol.11, issue.3, pp.466-473, 2011.

H. Tang, Hierarchical statistical analysis of performance variation for continuoustime delta-sigma modulators. Very Large Scale Integration VLSI-SoC, IFIP International Conference on, pp.37-41, 2007.

V. Huard, N. Ruiz, F. Cacho, and E. Pion, A bottom-up approach for system-on-chip reliability. Microelectronics Reliability, pp.9-111425, 2011.

H. Graeb, S. Zizala, J. Eckmueller, and K. Antreich, The sizing rules method for analog integrated circuit design, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281), 2001.
DOI : 10.1109/ICCAD.2001.968645

J. Mueller, H. Zou, U. Graeb, and . Schlichtmann, Optimization of sc ?? modulators based on worst-case-aware Pareto-optimal fronts, Proc. Custom Integrated Circuits Conference (CICC), pp.607-610, 2007.

S. Tiwary, P. Tiwary, and R. Rutenbar, Generation of yield-aware Pareto surfaces for hierarchical circuit design space exploration, Proceedings of the 43rd annual conference on Design automation , DAC '06, pp.31-36, 2006.
DOI : 10.1145/1146909.1146921

K. Deb, A. Pratap, S. Agarwal, and T. Meyarivan, A fast and elitist multiobjective genetic algorithm: NSGA-II, IEEE Transactions on Evolutionary Computation, vol.6, issue.2, pp.182-197, 2002.
DOI : 10.1109/4235.996017

E. David and . Goldberg, Genetic Algorithms in Search, Optimization and Machine Learning, 1989.

S. Das and P. Suganthan, Differential Evolution: A Survey of the State-of-the-Art, IEEE Transactions on Evolutionary Computation, vol.15, issue.1, pp.4-31, 2011.
DOI : 10.1109/TEVC.2010.2059031

D. Franco, Fiabilité du Signal des Circuits Logiques Combinatoires sous Fautes Simultanées Multiples, 2008.

A. Namazi and M. Nourani, Gate-level redundancy: A new design-for-reliability paradigm for nanotechnologies. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.18, issue.5, pp.775-786, 2010.

M. Nourani, A. Namazi, and S. Askari, Fault tolerant circuits for highly reliable systems, 2009 IEEE Aerospace conference, pp.1-10, 2009.
DOI : 10.1109/AERO.2009.4839502

S. Askari and M. Nourani, A design for reliability methodology based on selective overdesign, 2010 5th International Design and Test Workshop, pp.73-77, 2010.
DOI : 10.1109/IDT.2010.5724411

S. More, M. Fulde, F. Chouard, and D. Schmitt-landsiedel, Reducing impact of degradation on analog circuits by chopper stabilization and autozeroing, 2011 12th International Symposium on Quality Electronic Design, pp.1-6, 2011.
DOI : 10.1109/ISQED.2011.5770696

A. Ghosh, R. Franklin, and R. B. Brown, Analog Circuit Design Methodologies to Improve Negative-Bias Temperature Instability Degradation, 2010 23rd International Conference on VLSI Design, pp.369-374, 2010.
DOI : 10.1109/VLSI.Design.2010.69

I. Wey, Y. Chen, C. Yu, A. Wu, and J. Chen, Design and implementation of cost-effective probabilistic-based noise-tolerant VLSI circuits. Circuits and Systems I: Regular Papers, IEEE Transactions on, issue.11, pp.562411-2424, 2009.

S. Z. Li, Markov Random Field Modelling in Computer Vision, 1995.

S. Sayil, A. B. Akkur, N. Gaspard, and I. , Single Event crosstalk shielding for CMOS logic, Microelectronics Journal, vol.40, issue.6, pp.1000-1006, 2009.
DOI : 10.1016/j.mejo.2008.11.065

X. P. Zheng-hao-lu, Y. Yu, J. Liu, C. H. Su, and . Hu, Design of nano-scale noise tolerant CMOS logic circuits based on probabilistic Markov random field approach, Nanoscience and Nanotechnology Letters, vol.4, issue.9, p.2012

K. Simola, Reliability methods in nuclear power plant ageing management, 1999.

W. Wang, V. Reddy, A. T. Krishnan, R. Vattikonda, S. Krishnan et al., Compact Modeling and Simulation of Circuit Reliability for 65-nm CMOS Technology, IEEE Transactions on Device and Materials Reliability, vol.7, issue.4, pp.509-517, 2007.
DOI : 10.1109/TDMR.2007.910130

T. Quemerais, L. Moquillon, J. Fournier, P. Benech, and V. Huard, Design-in-reliable millimeter-wave power amplifiers in a 65-nm CMOS process. Microwave Theory and Techniques, IEEE Transactions on, vol.60, issue.4, pp.1079-1085, 2012.
URL : https://hal.archives-ouvertes.fr/hal-01025010

P. M. Ferreira, H. Petit, and J. Naviner, A synthesis methodology for AMS/RF circuit reliability: Application to a DCO design, Microelectronics Reliability, vol.51, issue.4, pp.765-772, 2011.
DOI : 10.1016/j.microrel.2010.11.002

URL : https://hal.archives-ouvertes.fr/hal-01417129

Y. Liu and J. Yuan, CMOS RF Low-Noise Amplifier Design for Variability and Reliability, Device and Materials Reliability, pp.450-457, 2011.
DOI : 10.1109/TDMR.2011.2160350

J. Keane, X. Wang, D. Persaud, and C. H. Kim, An all-in-one silicon odometer for separately monitoring hci, bti, and tddb. Solid-State Circuits, IEEE Journal, vol.45, issue.4, pp.817-829, 2010.

Y. Gang, W. Zhao, J. Klein, C. Chappert, and P. Mazoyer, A highreliability , low-power magnetic full adder, Magnetics IEEE Transactions on, issue.11, pp.474611-4616, 2011.

B. Yan, Q. Fan, J. B. Bernstein, J. Qin, and J. Dai, Reliability simulation and circuit-failure analysis in analog and mixed-signal applications, Device and Materials Reliability IEEE Transactions on, vol.9, issue.3, pp.339-347, 2009.

A. Do, Z. Kong, K. Yeo, J. Y. , and S. Low, Design and sensitivity analysis of a new current-mode sense amplifier for low-power SRAM. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.19, issue.2, pp.196-204, 2011.

H. Mostafa, M. Anis, and M. Elmasry, NBTI and process variations compensation circuits using adaptive body bias. Semiconductor Manufacturing, IEEE Transactions on, vol.25, issue.3, pp.460-467, 2012.

A. Chakraborty, G. Ganesan, A. Rajaram, and D. Z. Pan, Analysis and optimization of NBTI induced clock skew in gated clock trees, 2009 Design, Automation & Test in Europe Conference & Exhibition, pp.296-299, 2009.
DOI : 10.1109/DATE.2009.5090675

B. Kaczer, S. Mahato, V. V. De-almeida-camargo, M. Toledano-luque, P. J. Roussel et al., Atomistic approach to variability of bias-temperature instability in circuit simulations, 2011 International Reliability Physics Symposium, 2011.
DOI : 10.1109/IRPS.2011.5784604

V. Gutnik, Analysis and characterization of random skew and jitter in a novel clock network, 2000.

A. Chattopadhyay and Z. Zilic, Flexible and reconfigurable mismatch-tolerant serial clock distribution networks. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.20, issue.3, pp.523-536, 2012.

A. Ashry and H. Aboushady, Modeling jitter in Continuous-Time sigma-delta modulators, 2010 IEEE International Behavioral Modeling and Simulation Workshop, pp.53-56, 2010.
DOI : 10.1109/BMAS.2010.6156598

. Online, handbook. Nist/sematech e-handbook of statistical methods, 2013.

R. Paul, P. J. Gray, S. H. Hurst, R. G. Lewis, and . Meyer, Analysis and Design of Analog Integrated Circuits, 2001.

K. Martin and D. A. Johns, Analog Integrated Circuit Design, 1997.

C. Jabbour and . Telecom-paristech, Reconfigurable Parallel Delta Sigma Analog to Digital Converters, 2010.
URL : https://hal.archives-ouvertes.fr/pastel-00609650

M. Ortmanns and F. Gerfers, Continuous-Time Delta-Sigma Modulators for High- Speed A/D Conversion, 2006.

T. G. Schreier, Understanding Delta-Sigma Data Converters, 2004.
DOI : 10.1002/9781119258308

F. Maloberti, Data Converters, 2007.

. R. Onlinefileexchange and . Schreier, The deltasigma toolbox version 7.1 (delsig.zip), software toolbox and user's manual, 2004.

R. Steven, R. Norsworthy, G. C. Schreier, and . Temes, Delta-Sigma Data Converters: Theory, Design and Simulation, 1997.

A. Gharbiya, T. C. Caldwell, and D. A. Johns, High-speed oversampling analogto-digital converters, International Journal of High Speed Electronics and Systems, pp.1-21, 2005.

G. Yu and P. Li, Lookup table based simulation and statistical modeling of sigmadelta ADCs, Proc. of IEEE/ACM on Design Automation Conference, pp.1035-1040, 2006.

M. Andrejevic and V. Litovski, Fault Diagnosis in Digital Part of Sigma-Delta Converter, 2006 8th Seminar on Neural Network Applications in Electrical Engineering, pp.177-180, 2006.
DOI : 10.1109/NEUREL.2006.341206

S. More, Aging Degradation and Countermeasures in Deep-submicrometer Analog and Mixed Signal Integrated Circuits, 2011.

J. A. Cherry and W. M. Snelgrove, Excess loop delay in continuous-time delta-sigma modulators. Circuits and Systems II: Analog and Digital Signal Processing, IEEE Transactions on, vol.46, issue.4, pp.376-389, 1999.

J. A. Cherry and W. M. Snelgrove, Clock jitter and quantizer metastability in continuous-time delta-sigma modulators. Circuits and Systems II: Analog and Digital Signal Processing, IEEE Transactions on, vol.46, issue.6, pp.661-676, 1999.

P. Cusinato, M. Bruccoleri, D. D. Caviglia, and M. Valle, Analysis of the behavior of a dynamic latch comparator. Circuits and Systems I: Fundamental Theory and Applications, IEEE Transactions on, vol.45, issue.3, pp.294-298, 1998.