U. Cluster and M. , 13 7 a. Structure d'un BLE b, p.13

-. Defect, Tolerant Multiplexer Using Differential Logic for FPGAs, Arwa Ben Dhia, Mariem Slimani and Lirida Naviner, Mixed Design of Integrated Circuits and Systems (MIXDES) 21st IEEE International Conference on, 2014.

T. Ban, L. De-barros, and . Naviner, A simple fault-tolerant digital voter circuit in TMR nanoarchitectures, Proceedings of the 8th IEEE International NEWCAS Conference 2010, pp.269-272, 2010.
DOI : 10.1109/NEWCAS.2010.5603933

URL : https://hal.archives-ouvertes.fr/hal-00637639

R. Kshirsagar and R. Patrikar, Design of a novel fault-tolerant voter circuit for TMR implementation to improve reliability in digital circuits, Microelectronics Reliability, vol.49, issue.12, pp.1573-1577, 2009.
DOI : 10.1016/j.microrel.2009.08.001

L. Naviner, J. Naviner, G. , S. Jr, E. Marques et al., FIFA: A fault-injection???fault-analysis-based tool for reliability assessment at RTL level, Microelectronics Reliability, vol.51, issue.9-11, pp.1459-1463, 2011.
DOI : 10.1016/j.microrel.2011.06.017

URL : https://hal.archives-ouvertes.fr/hal-00627134

M. De-vasconcelos, D. Franco, L. De, B. Naviner, and J. Naviner, Reliability analysis of combinational circuits based on a probabilistic binomial model, 2008 Joint 6th International IEEE Northeast Workshop on Circuits and Systems and TAISA Conference, pp.310-313, 2008.
DOI : 10.1109/NEWCAS.2008.4606383

M. Graphics, Mentor Graphics Tessent CellModelGen Tool Available: http://www.mentor.com/products/silicon-yield

J. Neumann and E. , Probabilistic Logics and the Synthesis of Reliable Organisms From Unreliable Components, Automata Studies, 1956.
DOI : 10.1515/9781400882618-003

E. Moore and C. Shannon, Reliable circuits using less reliable relays, Journal of the Franklin Institute, vol.262, issue.3, pp.191-208, 1956.
DOI : 10.1016/0016-0032(56)90559-2

L. Chapelon and . Clavelier, An innovative die to wafer 3D integration scheme: Die to wafer oxide or copper direct bonding with planarised oxide inter-die filling, 3D System Integration, pp.1-4, 2009.

H. Goel and D. Dance, Yield enhancement challenges for 90 nm and beyond, Advanced Semiconductor Manufacturing Conference and Workshop, 2003 IEEEI/SEMI, pp.262-265, 2003.
DOI : 10.1109/ASMC.2003.1194504

S. N. Pagliarini, L. A. Naviner, and J. Naviner, Selective hardening methodology for combinational logic, 2012 13th Latin American Test Workshop (LATW), pp.1-6, 2012.
DOI : 10.1109/LATW.2012.6261262

URL : https://hal.archives-ouvertes.fr/hal-00695808

M. Stanisavljevic, A. Schmid, and Y. Leblebici, Optimization of the Averaging Reliability Technique Using Low Redundancy Factors for Nanoscale Technologies, IEEE Transactions on Nanotechnology, vol.8, issue.3, pp.379-390, 2009.
DOI : 10.1109/TNANO.2008.2009761

G. G. Júnior, Conception Robuste de Circuits Numériques à Technologies Nanométriques, École Nationale Supérieure des Télécommunications, 2012.

X. Lu, X. Su, J. Zeng, and H. Wang, A single FPGA embedded framework for secondary user in cognitive network, Communication Technology (ICCT) 12th IEEE International Conference on, pp.881-884, 2010.

J. Manikandan, M. Jayaraman, and M. Jayachandran, Design of an FPGA-based electronic flow regulator (EFR) for spacecraft propulsion system, Advances in Space Research, vol.47, issue.3, 2011.
DOI : 10.1016/j.asr.2010.08.035

S. Habermann, R. Kothe, and H. Vierhaus, Built-in Self Repair by Reconfiguration of FPGAs, 12th IEEE International On-Line Testing Symposium (IOLTS'06), 2006.
DOI : 10.1109/IOLTS.2006.13

M. Berg, Fault Tolerance Implementation within SRAM Based FPGA Design Based upon the Increased Level of Single Event Upset Susceptibility, 12th IEEE International On-Line Testing Symposium (IOLTS'06), p.3, 2006.
DOI : 10.1109/IOLTS.2006.36

C. Bolchini, C. Sandionigi, L. Fossati, and D. Codinachs, A reliable fault classifier for dependable systems on SRAM-based FPGAs, 2011 IEEE 17th International On-Line Testing Symposium, pp.92-97, 2011.
DOI : 10.1109/IOLTS.2011.5993817

F. Lahrach, A. Abdaoui, A. Doumar, and E. Chatelet, A novel SRAM-based FPGA architecture for defect and fault tolerance of configurable logic blocks, 13th IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems, pp.305-308, 2010.
DOI : 10.1109/DDECS.2010.5491763

A. Avizienis, J. Laprie, B. Randell, and V. , Fundamental Concepts of Dependability, 2000.

C. Stapper, F. Armstrong, and K. Saji, Integrated circuit yield statistics, Proceedings of the IEEE, pp.453-470, 1983.
DOI : 10.1109/PROC.1983.12619

C. Constantinescu, Trends and challenges in VLSI circuit reliability, IEEE Micro, vol.23, issue.4, pp.14-19, 2003.
DOI : 10.1109/MM.2003.1225959

I. Koren and Z. Koren, Defect tolerance in VLSI circuits: techniques and yield analysis, Proceedings of the IEEE, pp.1819-1838, 1998.
DOI : 10.1109/5.705525

B. Benware, C. Schuermyer, M. Sharma, and T. Herrmann, </title> </titles> <publication_date> <month>02</month> <year>2012</year> </publication_date> <pages> <first_page>64</first_page> <last_page>64</last_page> </pages> <publisher_item> <item_number item_number_type='arNumber'>6198433</item_number> </publisher_item> <doi_data> <doi>10.1109/MDT.2012.2196611</doi> <resource>http://ieeexplore.ieee.org/lpdocs/epic03/wrapper.htm?arnumber=6198433</resource> </doi_data> </journal_article> <journal_article> <titles> <title><![CDATA[</title> </titles> <publication_date> <month>02</month> <year>2012</year> </publication_date> <pages> <first_page>72</first_page> <last_page>72</last_page> </pages> <publisher_item> <item_number item_number_type='arNumber'>6198434</item_number> </publisher_item> <doi_data> <doi>10.1109/MDT.2012.2196612</doi> <resource>http://ieeexplore.ieee.org/lpdocs/epic03/wrapper.htm?arnumber=6198434</resource> </doi_data> </journal_article> <journal_article> <titles> <title><![CDATA[</title> </titles> <publication_date> <month>02</month> <year>2012</year> </publication_date> <pages> <first_page>79</first_page> <last_page>79</last_page> </pages> <publisher_item> <item_number item_number_type='arNumber'>6198435</item_number> </publisher_item> <doi_data> <doi>10.1109/MDT.2012.2196613</doi> <resource>http://ieeexplore.ieee.org/lpdocs/epic03/wrapper.htm?arnumber=6198435</resource> </doi_data> </journal_article> <journal_article> <titles> <title><![CDATA[Determining a Failure Root Cause Distribution From a Population of Layout-Aware Scan Diagnosis Results, IEEE Design & Test of Computers, vol.29, issue.1, pp.8-18, 2012.
DOI : 10.1109/MDT.2011.2178386

H. Walker and S. Director, VLASIC: A Catastrophic Fault Yield Simulator for Integrated Circuits Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.5, issue.4, pp.541-556, 1986.

C. Vinodchandra and S. Ramasamy, Test pattern generation for benchmark circuits using LFSR, 2013 Fourth International Conference on Computing, Communications and Networking Technologies (ICCCNT), pp.1-6, 2013.
DOI : 10.1109/ICCCNT.2013.6726500

L. Lingappan, S. Ravi, and N. Jha, Satisfiability-based test generation for nonseparable rtl controller-datapath circuits Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.25, issue.3, pp.544-557, 2006.

S. Reddy, I. Pomeranz, and S. Kajihara, Compact test sets for high defect coverage Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.16, issue.8, pp.923-930, 1997.

H. Hao and E. Mccluskey, Resistive Shorts" within CMOS Gates, Test Conference Proceedings., International, p.292, 1991.

S. Zhong, Fault modelling and accelerated simulation of integrated circuits manufacturing defects under process variation, 2013.

S. Kundu, S. T. Zachariah, S. Sengupta, and R. Galivanche, Test challenges in nanometer technologies, Proceedings IEEE European Test Workshop, pp.209-2181012203009875, 2001.
DOI : 10.1109/ETW.2000.873783

C. Constantinescu, Intermittent faults in VLSI circuits, Proceedings of IEEE Workshop on System Effects of Logic Soft Errors, 2006.

R. Baumann, Radiation-induced soft errors in advanced semiconductor technologies, IEEE Transactions on Device and Materials Reliability, vol.5, issue.3, pp.305-316, 2005.
DOI : 10.1109/TDMR.2005.853449

P. E. Dodd and L. W. Massengill, Basic mechanisms and modeling of single-event upset in digital microelectronics, IEEE Transactions on Nuclear Science, vol.50, issue.3, pp.583-602813129, 2003.
DOI : 10.1109/TNS.2003.813129

N. Seifert, Radiation-induced Soft Errors: A Chip-level Modeling Perspective, Foundations and Trends?? in Electronic Design Automation, vol.11, issue.2-3, pp.99-221, 2010.
DOI : 10.1561/1000000018

C. Slayman, Cache and memory error detection, correction, and reduction techniques for terrestrial servers and workstations, Device and Materials Reliability, 2005.
DOI : 10.1109/TDMR.2005.856487

F. Monteiro, S. Piestrak, H. Jaber, and A. Dandache, Fault-Secure Interface Between Fault-Tolerant RAM and Transmission Channel Using Systematic Cyclic Codes, 13th IEEE International On-Line Testing Symposium (IOLTS 2007), pp.199-200, 2007.
DOI : 10.1109/IOLTS.2007.32

M. Haghi and J. Draper, The 90 nm Double-DICE storage element to reduce Single-Event upsets, 2009 52nd IEEE International Midwest Symposium on Circuits and Systems, pp.463-466, 2009.
DOI : 10.1109/MWSCAS.2009.5236054

E. Hwang, S. Jeon, R. Negi, B. Kumar, and M. Cheng, Scrubbing with partial side information for radiation-tolerant memory, 2010 IEEE Globecom Workshops, pp.1941-1945, 2010.
DOI : 10.1109/GLOCOMW.2010.5700282

B. Narasimham, B. Bhuva, R. Schrimpf, L. Massengill, M. Gadlage et al., Characterization of Digital Single Event Transient Pulse-Widths in 130-nm and 90-nm CMOS Technologies, IEEE Transactions on Nuclear Science, vol.54, issue.6, 2007.
DOI : 10.1109/TNS.2007.910125

P. Shivakumar, M. Kistler, S. Keckler, D. Burger, and L. Alvisi, Modeling the effect of technology trends on the soft error rate of combinational logic, Proceedings International Conference on Dependable Systems and Networks, pp.389-398, 2002.
DOI : 10.1109/DSN.2002.1028924

D. T. Franco, Fiabilité du Signal des Circuits Logiques Combinatoires sous Fautes Simultanées Multiples, 2009.

N. Seifert, P. Slankard, M. Kirsch, B. Narasimham, V. Zia et al., Radiation-Induced Soft Error Rates of Advanced CMOS Bulk Devices, 2006 IEEE International Reliability Physics Symposium Proceedings, pp.217-225, 2006.
DOI : 10.1109/RELPHY.2006.251220

N. George and J. Lach, Characterization of logical masking and error propagation in combinational circuits and effects on system vulnerability, 2011 IEEE/IFIP 41st International Conference on Dependable Systems & Networks (DSN), pp.323-334, 2011.
DOI : 10.1109/DSN.2011.5958246

. Altera, FPGA -field-programmable gate array

. Xilinx, Benchmark designs for the quartus university interface program (QUIP), pp.41-43, 2005.

Z. Marrakchi, H. Mrabet, and H. Mehrez, Optimized local interconnect for clusterbased mesh FPGA architecture, Microelectronics, 2008. ICM 2008. International Conference on, pp.15-18, 2008.

E. Amouri, Z. Marrakchi, and H. Mehrez, Differential pair routing to balance dual signals of WDDL designs in cluster-based Mesh FPGA, 6th International Workshop on Reconfigurable Communication-Centric Systems-on-Chip (ReCoSoC), pp.1-4, 2011.
DOI : 10.1109/ReCoSoC.2011.5981528

URL : https://hal.archives-ouvertes.fr/hal-01286036

I. Kuon, R. Tessier, and J. Rose, FPGA Architecture: Survey and Challenges Foundations and Trends in Electronic Design Automation, 2008.

D. Lewis, E. Ahmed, G. Baeckler, V. Betz, M. Bourgeault et al., The Stratix II logic and routing architecture, Proceedings of the 2005 ACM/SIGDA 13th international symposium on Field-programmable gate arrays , FPGA '05, pp.14-20, 2005.
DOI : 10.1145/1046192.1046195

W. Feng and S. Kaptanoglu, Designing Efficient Input Interconnect Blocks for LUT Clusters Using Counting and Entropy, ACM Transactions on Reconfigurable Technology and Systems, vol.1, issue.1, pp.1-628, 2008.
DOI : 10.1145/1331897.1331902

. Xilinx, FPGA -field-programmable gate array

Z. Marrakchi, H. Mrabet, and H. Mehrez, Programmable Gate Array, Switch Box and Logic Unit for such an Array, 2010.

M. Hutton, K. Adibsamii, and A. Leaver, Timing-driven placement for hierarchical programmable logic devices, Proceedings of the 2001 ACM/SIGDA ninth international symposium on Field programmable gate arrays , FPGA '01, pp.3-11, 2001.
DOI : 10.1145/360276.360286

Y. Lai and P. Wang, Hierarchical interconnection structures for field programmable gate arrays Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.5, issue.2, pp.186-196, 1997.

A. Aggarwal and D. Lewis, Routing architectures for hierarchical field programmable gate arrays, Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computers and Processors, pp.475-478, 1994.
DOI : 10.1109/ICCD.1994.331954

M. Zied, M. Hayder, A. Emna, and M. Habib, Efficient tree topology for FPGA interconnect network, Proceedings of the 18th ACM Great Lakes symposium on VLSI , GLSVLSI '08, pp.321-326, 2008.
DOI : 10.1145/1366110.1366186

Z. Marrakchi, H. Mrabet, and H. Mehrez, Evaluation of Hierarchical FPGA partitioning methodologies based on architecture Rent Parameter, 2006 Ph.D. Research in Microelectronics and Electronics, 2006.
DOI : 10.1109/RME.2006.1689902

URL : https://hal.archives-ouvertes.fr/hal-01338243

J. Pistorius and M. Hutton, Placement rent exponent calculation methods, temporal behaviour and FPGA architecture evaluation, Proceedings of the 2003 international workshop on System-level interconnect prediction , SLIP '03, 2003.
DOI : 10.1145/639929.639936

M. Hsueh, T. Tsai, and R. Iyer, Fault injection techniques and tools, Computer, vol.30, issue.4, pp.75-82, 1997.
DOI : 10.1109/2.585157

D. Bhaduri and S. Shukla, NANOLAB???A Tool for Evaluating Reliability of Defect-Tolerant Nanoarchitectures, IEEE Transactions On Nanotechnology, vol.4, issue.4, pp.381-394, 2005.
DOI : 10.1109/TNANO.2005.851290

A. C. Marquez, A. S. Heguedas, and B. Iung, Monte Carlo-based assessment of system availability. A case study for cogeneration plants, Reliability Engineering & System Safety, vol.88, issue.3, pp.273-289, 2005.
DOI : 10.1016/j.ress.2004.07.018

J. Banks and J. Carson, Discrete Event System Simulation, 2008.

S. Hwang, J. Hong, and C. Wu, Sequential Circuit Fault Simulation Using Logic Emulation Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.17, issue.8, pp.724-736, 1998.

P. Civera, L. Macchiarulo, M. Rebaudengo, M. Reorda, and M. Violante, Exploiting circuit emulation for fast hardness evaluation, IEEE Transactions on Nuclear Science, vol.48, issue.6, pp.2210-2216, 2001.
DOI : 10.1109/23.983197

F. Faure, P. Peronnard, and R. Velazco, Thesic+: A flexible system for SEE testing, Proceedings of RADECS, 2002.

F. Faure, R. Velazco, and P. Peronnard, Single-event-upset-like fault injection: a comprehensive framework, IEEE Transactions on Nuclear Science, vol.52, issue.6, pp.2205-2209, 2005.
DOI : 10.1109/TNS.2005.860689

URL : https://hal.archives-ouvertes.fr/hal-00022050

G. Foucard, P. Peronnard, and R. Velazco, Reliability limits of tmr implemented in a sram-based fpga: Heavy ion measures vs. fault injection predictions, Test Workshop (LATW), pp.1-5, 2010.
URL : https://hal.archives-ouvertes.fr/hal-00672434

P. Sedcole, B. Blodget, T. Becker, J. Anderson, and P. Lysaght, Modular dynamic reconfiguration in Virtex FPGAs, Computers and Digital Techniques, pp.157-164, 2006.
DOI : 10.1049/ip-cdt:20050176

L. Antoni, R. Leveugle, and B. Feher, Using Run-time Reconfiguration for Fault Injection in Hardware Prototypes, Defect and Fault Tolerance in VLSI Systems Proceedings. 17th IEEE International Symposium on, pp.245-253, 2002.
URL : https://hal.archives-ouvertes.fr/hal-00015042

M. Aguirre, V. Baena, J. Tombs, and M. Violante, A New Approach to Estimate the Effect of Single Event Transients in Complex Circuits, IEEE Transactions on Nuclear Science, vol.54, issue.4, pp.1018-1024, 2007.
DOI : 10.1109/TNS.2007.895549

M. Jeitler, M. Delvai, and S. Reichor, FuSE - a hardware accelerated HDL fault injection tool, 2009 5th Southern Conference on Programmable Logic (SPL), pp.89-94, 2009.
DOI : 10.1109/SPL.2009.4914906

C. Lopez-ongil, M. Garcia-valderas, M. Portela-garcia, and L. Entrena, Autonomous Fault Emulation: A New FPGA-Based Acceleration System for Hardness Evaluation, IEEE Transactions on Nuclear Science, vol.54, issue.1, pp.252-261, 2007.
DOI : 10.1109/TNS.2006.889115

L. Entrena, M. Garcia-valderas, R. Fernandez-cardenal, A. Lindoso, M. Portela et al., Soft Error Sensitivity Evaluation of Microprocessors by Multilevel Emulation-Based Fault Injection, IEEE Transactions on Computers, vol.61, issue.3, pp.313-322, 2012.
DOI : 10.1109/TC.2010.262

S. Krishnaswamy, G. Viamontes, I. Markov, and J. Hayes, Accurate Reliability Evaluation and Enhancement via Probabilistic Transfer Matrices, Design, Automation and Test in Europe, pp.282-287, 2005.
DOI : 10.1109/DATE.2005.47

URL : https://hal.archives-ouvertes.fr/hal-00181530

D. Franco, M. Vasconcelos, L. Naviner, and J. Naviner, Reliability of logic circuits under multiple simultaneous faults, 2008 51st Midwest Symposium on Circuits and Systems, pp.265-268, 2008.
DOI : 10.1109/MWSCAS.2008.4616787

D. T. Franco, M. C. Vasconcelos, L. Naviner, and J. Naviner, Signal probability for reliability evaluation of logic circuits, Microelectronics Reliability, vol.48, issue.8-9, pp.1586-1591, 2008.
DOI : 10.1016/j.microrel.2008.07.002

R. Ogus, The Probability of a Correct Output from a Combinational Circuit, IEEE Transactions on Computers, vol.24, issue.5, 1975.
DOI : 10.1109/T-C.1975.224257

S. Dokouzgiannis and J. Kontoleon, Exact reliability analysis of combinational logic circuits, IEEE Transactions on Reliability, vol.37, issue.5, 1988.
DOI : 10.1109/24.9870

A. Bogliolo, M. Damiani, P. Olivo, and B. Ricco, Reliability evaluation of combinational logic circuits by symbolic simulation, Proceedings 13th IEEE VLSI Test Symposium, 1995.
DOI : 10.1109/VTEST.1995.512643

J. Chen, J. Mundy, Y. Bai, S. M. Chan, P. Petrica et al., A probabilistic approach to nano-computing, " in in IEEE non-silicon computer workshop, 2003.

T. Rejimon and S. Bhanja, Scalable probabilistic computing models using Bayesian networks, 48th Midwest Symposium on Circuits and Systems, 2005., pp.712-715, 2005.
DOI : 10.1109/MWSCAS.2005.1594200

A. Abdollahi, Probabilistic decision diagrams for exact probabilistic analysis, 2007 IEEE/ACM International Conference on Computer-Aided Design, pp.266-272, 2007.
DOI : 10.1109/ICCAD.2007.4397276

M. Choudhury and K. Mohanram, Reliability Analysis of Logic Circuits Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.28, issue.3, pp.392-405, 2009.

S. Luckenbill, J. Lee, Y. Hu, R. Majumdar, and L. He, RALF: Reliability Analysis for Logic Faults &#x2014; An exact algorithm and its applications, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010), pp.783-788, 2010.
DOI : 10.1109/DATE.2010.5456947

A. Darwiche, Decomposable negation normal form, Journal of the ACM, vol.48, issue.4, pp.608-647, 2001.
DOI : 10.1145/502090.502091

J. T. Flaquer, J. Daveau, L. Naviner, and P. Roche, Fast reliability analysis of combinatorial logic circuits using conditional probabilities Microelectronics Reliability, 21st European Symposium on the Reliability of Electron Devices, Failure Physics and Analysis. [Online]. Available, pp.1215-1218, 2010.

K. Mohammadi, H. Jahanirad, and P. Attarsharghi, Fast Reliability Analysis Method for Sequential Logic Circuits, 2011 21st International Conference on Systems Engineering, pp.352-356, 2011.
DOI : 10.1109/ICSEng.2011.70

J. Han, H. Chen, E. Boykin, and J. A. Fortes, Reliability evaluation of logic circuits using probabilistic gate models, Microelectronics Reliability, vol.51, issue.2, pp.468-476, 2011.
DOI : 10.1016/j.microrel.2010.07.154

S. Pagliarini, A. B. Dhia, L. De, B. Naviner, and J. Naviner, SNaP: a Novel Hybrid Method for Circuit Reliability Assessment Under Multiple Faults Microelectronics Reliability, 2013.

J. Neumann, Probabilistic Logics and the Synthesis of Reliable Organisms From Unreliable Components, Automata Studies, vol.34, pp.43-99, 1956.
DOI : 10.1515/9781400882618-003

D. Blough and G. Sullivan, A comparison of voting strategies for fault-tolerant distributed systems, Proceedings Ninth Symposium on Reliable Distributed Systems, pp.136-145, 1990.
DOI : 10.1109/RELDIS.1990.93959

G. , D. Santos-jr, L. Naviner, B. Cousin, G. Deleuze et al., Procédé de durcissement logique par partitionnement d un circuit électronique

A. El-maleh and F. Oughali, Enhancing Reliability of Combinational Circuits against Soft Errors by Using a Generalized Modular Redundancy Scheme, 2013 International Symposium on Electronic System Design, pp.62-66, 2013.
DOI : 10.1109/ISED.2013.19

W. Pierce, Failure-tolerant computer design, 1965.

L. Anghel and M. Nicolaidis, Defects Tolerant Logic Gates for Unreliable Future Nanotechnologies, Proceedings of the 9th international work conference on Artificial neural networks, ser. IWANN'07, 2007.
DOI : 10.1007/978-3-540-73007-1_52

URL : https://hal.archives-ouvertes.fr/hal-00547514

A. Djupdal and P. Haddow, Defect Tolerance Inspired by Artificial Evolution, 2008 IEEE Computer Society Annual Symposium on VLSI, pp.28-33, 2008.
DOI : 10.1109/ISVLSI.2008.77

M. Takechi and T. Tokunaga, Evolving hardware with genetic learning: A first step towards building a darwin machine, From Animals to Animats 2: Proceedings of the Second International Conference on Simulation of Adaptive Behavior, pp.417-424, 1993.

A. Eiben and J. Smith, Introduction to Evolutionary Computing, 2003.

F. Corno, M. Reorda, and G. Squillero, A new evolutionary algorithm inspired by the selfish gene theory, 1998 IEEE International Conference on Evolutionary Computation Proceedings. IEEE World Congress on Computational Intelligence (Cat. No.98TH8360), pp.575-580, 1998.
DOI : 10.1109/ICEC.1998.700092

A. Thompson, Evolving fault tolerant systems, 1st International Conference on Genetic Algorithms in Engineering Systems: Innovations and Applications (GALESIA), pp.524-529, 1995.
DOI : 10.1049/cp:19951102

A. Tyrrell, G. Hollingworth, and S. Smith, Evolutionary strategies and intrinsic fault tolerance, Proceedings Third NASA/DoD Workshop on Evolvable Hardware. EH-2001, pp.98-106, 2001.
DOI : 10.1109/EH.2001.937951

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.20.8872

R. Canham and A. Tyrrell, Evolved fault tolerance in evolvable hardware, Proceedings of the 2002 Congress on Evolutionary Computation. CEC'02 (Cat. No.02TH8600), pp.1267-1271, 2002.
DOI : 10.1109/CEC.2002.1004425

A. Jackson, R. Canham, and A. Tyrrell, Robot fault-tolerance using an embryonic array, NASA/DoD Conference on Evolvable Hardware, 2003. Proceedings., pp.91-100, 2003.
DOI : 10.1109/EH.2003.1217651

E. Stefatos and T. Arslan, An efficient fault-tolerant VLSI architecture using parallel evolvable hardware technology, Proceedings. 2004 NASA/DoD Conference on Evolvable Hardware, 2004., pp.97-103, 2004.
DOI : 10.1109/EH.2004.1310816

D. Keymeulen, R. Zebulum, Y. Jin, and A. Stoica, Fault-tolerant evolvable hardware using field-programmable transistor arrays, IEEE Transactions on Reliability, vol.49, issue.3, pp.305-316, 2000.
DOI : 10.1109/24.914547

J. Lohn, G. Larchev, and R. Demara, A Genetic Representation for Evolutionary Fault Recovery in Virtex FPGAs, Proceedings Of The Fifth International Conference On Evolvable Systems (ICESâ ? A ´ Z03), pp.47-56, 2003.
DOI : 10.1007/3-540-36553-2_5

A. Djupdal and P. C. Haddow, The Route to a Defect Tolerant LUT Through Artificial Evolution Genetic Programming and Evolvable Machines, pp.281-303, 2011.

L. Heller, W. Griffin, J. Davis, and N. Thoma, Cascode voltage switch logic: A differential cmos logic family, " in Solid-State Circuits Conference. Digest of Technical Papers, IEEE International, vol.XXVII, pp.16-17, 1984.

M. Stanisavljevic, A. Schmid, and Y. Leblebici, Fault-tolerance of robust feedforward architecture using single-ended and differential deep-submicron circuits under massive defect density, Neural Networks, 2006. IJCNN '06. International Joint Conference on, pp.2771-2778, 2006.

W. Huang and E. Mccluskey, Column-Based Precompiled Configuration Techniques for FPGA, Programmable Custom Computing Machines, 2001. FCCM '01. The 9th Annual IEEE Symposium on, pp.137-146, 2001.

K. Inoue, Y. Nishitani, M. Amagasaki, M. Iida, M. Kuga et al., Fault detection and avoidance of FPGA in various granularities, 22nd International Conference on Field Programmable Logic and Applications (FPL), pp.539-542, 2012.
DOI : 10.1109/FPL.2012.6339274

A. Doumar, S. Kaneko, and H. Ito, Defect and fault tolerance FPGAs by shifting the configuration data, Proceedings 1999 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (EFT'99), pp.377-385, 1999.
DOI : 10.1109/DFTVS.1999.802905

R. Kshirsagar and S. Sharma, Fault Tolerance in FPGA through Horse Shifting, 2012 Fifth International Conference on Emerging Trends in Engineering and Technology, pp.228-232, 2012.
DOI : 10.1109/ICETET.2012.51

J. Lach, W. Mangione-smith, and M. Potkonjak, Low overhead fault-tolerant FPGA systems, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.6, issue.2, pp.212-221, 1998.
DOI : 10.1109/92.678870

A. Yu and G. Lemieux, FPGA defect tolerance: impact of granularity., Proceedings. 2005 IEEE International Conference on Field-Programmable Technology, 2005., pp.189-196, 2005.
DOI : 10.1109/FPT.2005.1568545

A. Corp, Altera's patented redundancy technology dramatically increases yields on highdensity APEX 20KE devices, 2000.

A. Yu and G. Lemieux, Defect-tolerant fpga switch block and connection block with fine-grain redundancy for yield enhancement, International Conference on Field Programmable Logic and Applications, 2005., 2005.
DOI : 10.1109/FPL.2005.1515731

A. Doumar and H. Ito, Design of switching blocks tolerating defects/faults in FPGA interconnection resources, Proceedings IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, pp.134-142, 2000.
DOI : 10.1109/DFTVS.2000.887151

E. Ahmed and J. Rose, The effect of LUT and cluster size on deep-submicron FPGA performance and density Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.12, issue.3, pp.288-298, 2004.

A. Dehon, Balancing interconnect and computation in a reconfigurable computing array (or, why you don't really want 100% LUT utilization), Proceedings of the 1999 ACM/SIGDA seventh international symposium on Field programmable gate arrays , FPGA '99, pp.69-78, 1999.
DOI : 10.1145/296399.296431

. Xilinx, FPGA -field-programmable gate array

R. Mersereau and T. Speake, A unified treatment of Cooley-Tukey algorithms for the evaluation of the multidimensional DFT, IEEE Transactions on Acoustics, Speech, and Signal Processing, vol.29, issue.5, pp.1011-1018, 1981.
DOI : 10.1109/TASSP.1981.1163687

E. Sundar, V. Chandrasekhar, M. Sashikanth, V. Kamakoti, and V. Narayanan, A novel CLB architecture to detect and correct SEU in LUTs of SRAM-based FPGAs, Proceedings. 2004 IEEE International Conference on Field- Programmable Technology (IEEE Cat. No.04EX921), pp.121-128, 2004.
DOI : 10.1109/FPT.2004.1393259

S. Weininger and M. Pecht, Exploring medical device reliability and its relationship to safety and effectiveness, Product Compliance Engineering, pp.1-5, 2009.