G. E. Moore, Cramming More Components Onto Integrated Circuits, Proceedings of the IEEE, vol.86, issue.1, pp.114-117, 1965.
DOI : 10.1109/JPROC.1998.658762

C. Hu, S. C. Tam, F. Hsu, P. Ko, T. Chan et al., Hotelectron-induced MOSFET degradationmodel, monitor, and improvement, IEEE Transactions on Electron Devices, vol.32, issue.2, pp.375-385, 1985.

K. O. Jeppson and C. M. Svensson, Negative bias stress of MOS devices at high electric fields and degradation of MNOS devices, Journal of Applied Physics, vol.48, issue.5, pp.2004-2014, 2008.
DOI : 10.1063/1.323909

J. Stathis, Percolation models for gate oxide breakdown, Journal of Applied Physics, vol.86, issue.10, pp.5757-5766, 1999.
DOI : 10.1063/1.371590

J. Lienig, introduction to electromigration-aware physical design, Proceedings of the 2006 international symposium on Physical design , ISPD '06, pp.39-46, 2006.
DOI : 10.1145/1123008.1123017

G. Gielen, P. De-wit, E. Maricau, J. Loeckx, J. Martín-martínez et al., Emerging yield and reliability challenges in nanometer CMOS technologies, Proceedings of the conference on Design, automation and test in Europe, pp.1322-1327, 2008.

L. B. Kish, End of Moore's law: thermal (noise) death of integration in micro and nano electronics, Physics Letters A, vol.305, issue.3-4, pp.144-149, 2002.
DOI : 10.1016/S0375-9601(02)01365-8

N. Seifert, P. Slankard, M. Kirsch, B. Narasimham, V. Zia et al., Radiation-Induced Soft Error Rates of Advanced CMOS Bulk Devices, 2006 IEEE International Reliability Physics Symposium Proceedings, pp.217-225, 2006.
DOI : 10.1109/RELPHY.2006.251220

N. Seifert, V. Ambrose, B. Gill, Q. Shi, R. Allmon et al., On the radiation-induced soft error performance of hardened sequential elements in advanced bulk CMOS technologies, 2010 IEEE International Reliability Physics Symposium, pp.188-197, 2010.
DOI : 10.1109/IRPS.2010.5488831

W. Arden, More than moore white paper by the IRC -ITRS, www.itrs. net/Links/2010ITRS/IRC-ITRS-MtM-v2%203.pdf, 2011.

S. Dhar, M. Pattanaik, and P. Rajaram, Advancement in Nanoscale CMOS Device Design En Route to Ultra-Low-Power Applications, VLSI Design, vol.44, issue.4, pp.1-2, 2011.
DOI : 10.1109/TED.2007.911338

T. Skotnicki, J. A. Hutchby, T. King, H. Wong, and F. Boeuf, The end of CMOS scaling, IEEE Circuits and Devices Magazine, vol.21, issue.1, pp.16-26, 2005.
DOI : 10.1109/MCD.2005.1388765

M. Bruel, Silicon on insulator material technology, Electronics Letters, vol.31, issue.14, pp.1201-1202, 1995.
DOI : 10.1049/el:19950805

E. J. Nowak, I. Aller, T. Ludwig, K. Kim, R. V. Joshi et al., Turning silicon on its edge, IEEE Circuits and Devices Magazine, vol.20, issue.1, pp.20-31, 2004.
DOI : 10.1109/MCD.2004.1263404

K. Teo, R. Lacerda, M. Yang, A. Teh, L. Robinson et al., Carbon nanotube technology for solid state and vacuum electronics, IEE Proceedings-Circuits, Devices and Systems, pp.443-451, 2004.
DOI : 10.1049/ip-cds:20040408

G. Bouriano?, The future of nanocomputing, Computer, vol.36, issue.8, pp.44-53, 2003.
DOI : 10.1109/MC.2003.1220581

M. T. Bohr, Nanotechnology goals and challenges for electronic applications, IEEE Transactions On Nanotechnology, vol.1, issue.1, pp.56-62, 2002.
DOI : 10.1109/TNANO.2002.1005426

C. S. Lent, P. D. Tougaw, W. Porod, and G. H. Bernstein, Quantum cellular automata, Nanotechnology, vol.4, issue.1, p.49, 1993.
DOI : 10.1088/0957-4484/4/1/004

I. Koren and C. M. Krishna, Fault-tolerant systems, 2010.

F. Crupi, B. Kaczer, R. Degraeve, V. Subramanian, P. Srinivasan et al., Reliability Comparison of Triple-Gate Versus Planar SOI FETs, IEEE Transactions on Electron Devices, vol.53, issue.9, pp.2351-2357, 2006.
DOI : 10.1109/TED.2006.880824

M. Jurczak, N. Collaert, A. Veloso, T. Ho?mann, and S. Biesemans, Review of FINFET technology, 2009 IEEE International SOI Conference, pp.1-4, 2009.
DOI : 10.1109/SOI.2009.5318794

S. Sugahara and J. Nitta, Spin-Transistor Electronics: An Overview and Outlook, Proceedings of the IEEE, pp.2124-2154, 2010.
DOI : 10.1109/JPROC.2010.2064272

M. Goessel, V. Ocheretny, E. Sogomonyan, and D. Marienfeld, New Methods of Concurrent Checking (Frontiers in Electronic Testing), 2008.

D. T. Franco, Fiabilité du signal des circuits logiques combinatoires sous fautes simultanées multiples, 2008.

M. Stanisavljevic, A. Schmid, and Y. Leblebici, Reliability of nanoscale circuits and systems. No. EPFL-BOOK-164975, 2011.

A. Avizienis, J. Laprie, B. Randell, and C. Landwehr, Basic concepts and taxonomy of dependable and secure computing, IEEE Transactions on Dependable and Secure Computing, vol.1, issue.1, pp.11-33, 2004.
DOI : 10.1109/TDSC.2004.2

C. Constantinescu, Trends and challenges in VLSI circuit reliability, IEEE Micro, vol.23, issue.4, pp.14-19, 2003.
DOI : 10.1109/MM.2003.1225959

L. Anghel, R. Leveugle, and P. Vanhauwaert, Evaluation of SET and SEU e?ects at multiple abstraction levels, Proceedings of the 11th IEEE International On-Line Testing Symposium, pp.309-312, 2005.

L. M. Terman, An investigation of surface states at a silicon/silicon oxide interface employing metal-oxide-silicon diodes, Solid-State Electronics, vol.5, issue.5, pp.285-299, 1962.
DOI : 10.1016/0038-1101(62)90111-9

J. H. Stathis and S. Zafar, The negative bias temperature instability in MOS devices: A review, Microelectronics Reliability, vol.46, issue.2-4, pp.270-286, 2006.
DOI : 10.1016/j.microrel.2005.08.001

J. W. Mcpherson, Reliability challenges for 45nm and beyond, Proceedings of the 43rd annual conference on Design automation , DAC '06, pp.176-181, 2006.
DOI : 10.1145/1146909.1146959

H. Cai, H. Petit, and J. Naviner, A Hierarchical Reliability Simulation Methodology for AMS Integrated Circuits and Systems, Journal of Low Power Electronics, vol.8, issue.5, pp.697-705, 2012.
DOI : 10.1166/jolpe.2012.1228

C. Hao, Fiabilisation de Convertisseurs Analogique-Numériquè a Modulation Sigma- Delta, 2013.

D. Binder, E. Smith, and A. Holman, Satellite Anomalies from Galactic Cosmic Rays, IEEE Transactions on Nuclear Science, vol.22, issue.6, pp.2675-2680, 1975.
DOI : 10.1109/TNS.1975.4328188

R. Baumann, Soft Errors in Advanced Computer Systems, IEEE Design and Test of Computers, vol.22, issue.3, pp.258-266, 2005.
DOI : 10.1109/MDT.2005.69

G. Srinivasan, P. Murley, and H. Tang, Accurate, predictive modeling of soft error rate due to cosmic rays and chip alpha radiation, Proceedings of 1994 IEEE International Reliability Physics Symposium RELPHY-94, pp.12-16, 1994.
DOI : 10.1109/RELPHY.1994.307864

S. V. Walstra and C. Dai, Circuit-level modeling of soft errors in integrated circuits, IEEE Transactions on Device and Materials Reliability, vol.5, issue.3, pp.358-364, 2005.
DOI : 10.1109/TDMR.2005.855684

S. Uznanski, G. Gasiot, P. Roche, C. Tavernier, and J. Autran, Single Event Upset and Multiple Cell Upset Modeling in Commercial Bulk 65-nm CMOS SRAMs and Flip-Flops, IEEE Transactions on Nuclear Science, vol.57, issue.4, pp.1876-1883, 2010.
DOI : 10.1109/TNS.2010.2051039

H. Cha and J. H. Patel, A logic-level model for ??-particle hits in CMOS circuits, Proceedings of 1993 IEEE International Conference on Computer Design ICCD'93, pp.538-542, 1993.
DOI : 10.1109/ICCD.1993.393319

L. B. Kish, End of Moore's law: thermal (noise) death of integration in micro and nano electronics, Physics Letters A, vol.305, issue.3-4, pp.144-149, 2002.
DOI : 10.1016/S0375-9601(02)01365-8

S. Mitra and E. J. Mccluskey, Which concurrent error detection scheme to choose ?, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159), pp.985-994, 2000.
DOI : 10.1109/TEST.2000.894311

G. D. Kraft and W. N. Toy, Microprogrammed control and reliable design of small computers, 1981.

B. K. Kumar and P. K. Lala, On-line detection of faults in carry-select adders, International Test Conference, 2003. Proceedings. ITC 2003., pp.912-912, 2003.
DOI : 10.1109/TEST.2003.1271077

M. Nicolaidis, R. Duarte, S. Manich, and J. Figueras, Fault-secure parity prediction arithmetic operators, IEEE Design & Test of Computers, vol.14, issue.2, pp.60-71, 1997.
DOI : 10.1109/54.587743

URL : https://hal.archives-ouvertes.fr/hal-00013888

M. Nicolaidis, Carry checking/parity prediction adders and ALUs, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.121-128, 2003.
DOI : 10.1109/TVLSI.2002.800526

URL : https://hal.archives-ouvertes.fr/hal-00013737

G. Cardarilli, M. Ottavi, S. Pontarelli, M. Re, and A. Salsano, Error detection in signed digit arithmetic circuit with parity checker [adder example], Proceedings. 16th IEEE Symposium on Computer Arithmetic, pp.401-408, 2003.
DOI : 10.1109/DFTVS.2003.1250137

D. Marienfeld, E. Sogomonyan, V. Otcheretnij, and M. Gossel, A New Self-Checking and Code-Disjoint Non-Restoring Array Divider, 12th IEEE International On-Line Testing Symposium (IOLTS'06), pp.23-30, 2006.
DOI : 10.1109/IOLTS.2006.7

M. Moza?ari-kermani and A. Reyhani-masoleh, Concurrent Structure-Independent Fault Detection Schemes for the Advanced Encryption Standard, IEEE Transactions on Computers, vol.59, issue.5, pp.608-622, 2010.
DOI : 10.1109/TC.2010.33

P. Lala and A. Walker, On-line error detectable carry-free adder design, Proceedings 2001 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, pp.66-71, 2001.
DOI : 10.1109/DFTVS.2001.966753

J. Lo, S. Thanawastien, and T. Rao, Concurrent error detection in arithmetic and logical operations using Berger codes, Proceedings of 9th Symposium on Computer Arithmetic, pp.233-240, 1989.
DOI : 10.1109/ARITH.1989.72831

I. Proudler, Idempotent AN codes, IEE Colloquium on Signal Processing Applications of Finite Field Mathematics, pp.8-9, 1989.

G. Gaubatz and B. Sunar, Robust finite field arithmetic for fault-tolerant publickey cryptography Fault Diagnosis and Tolerance in Cryptography, pp.196-210, 2006.

J. Biernat, The Complexity of Fault-Tolerant Adder Structures, 2008 Third International Conference on Dependability of Computer Systems DepCoS-RELCOMEX, pp.316-323, 2008.
DOI : 10.1109/DepCoS-RELCOMEX.2008.60

R. Forsati, K. Faez, F. Moradi, and A. Rahbar, A Fault Tolerant Method for Residue Arithmetic Circuits, 2009 International Conference on Information Management and Engineering, pp.59-63, 2009.
DOI : 10.1109/ICIME.2009.111

M. Medwed and J. Schmidt, Coding schemes for arithmetic and logic operationshow robust are they?, Information Security Applications, pp.51-65, 2009.

D. Franco, M. Vasconcelos, L. Naviner, and J. Naviner, Signal probability for reliability evaluation of logic circuits, Microelectronics Reliability, vol.48, issue.8-9, pp.1586-1591, 2008.
DOI : 10.1016/j.microrel.2008.07.002

J. Han, H. Chen, E. Boykin, and J. Fortes, Reliability evaluation of logic circuits using probabilistic gate models, Microelectronics Reliability, vol.51, issue.2, pp.468-476, 2011.
DOI : 10.1016/j.microrel.2010.07.154

S. Pagliarini, A. B. Dhia, L. D. Naviner, and J. Naviner, SNaP: A novel hybrid method for circuit reliability assessment under multiple faults, Microelectronics Reliability, vol.53, issue.9-11, pp.1230-1234, 2013.
DOI : 10.1016/j.microrel.2013.07.027

URL : https://hal.archives-ouvertes.fr/hal-01062108

P. Shivakumar, M. Kistler, S. W. Keckler, D. Burger, and L. Alvisi, Modeling the e?ect of technology trends on the soft error rate of combinational logic, Proceedings of International Conference on Dependable Systems and Networks, pp.389-398, 2002.

Y. S. Dhillon, A. U. Diril, and A. Chatterjee, Soft-error tolerance analysis and optimization of nanometer circuits, Design, Automation, and Test in Europe, pp.389-400, 2008.
URL : https://hal.archives-ouvertes.fr/hal-00181531

B. Zhang, W. Wang, and M. Orshansky, FASER: Fast analysis of soft error susceptibility for cell-based designs, Proceedings of the 7th international symposium on quality electronic design, pp.755-760, 2006.

M. Omana, G. Papasso, D. Rossi, and C. Metra, A model for transient fault propagation in combinatorial logic, 9th IEEE On-Line Testing Symposium, 2003. IOLTS 2003., pp.111-115, 2003.
DOI : 10.1109/OLT.2003.1214376

F. Wang and Y. Xie, Soft Error Rate Analysis for Combinational Logic Using an Accurate Electrical Masking Model, IEEE Transactions on Dependable and Secure Computing, vol.8, issue.1, pp.137-146, 2011.
DOI : 10.1109/TDSC.2009.29

H. Fujiwara and S. Toida, The Complexity of Fault Detection Problems for Combinational Logic Circuits, IEEE Transactions on Computers, vol.31, issue.6, pp.555-560, 1982.
DOI : 10.1109/TC.1982.1676041

K. Patel, J. Hayes, and I. Markov, Evaluating circuit reliability under probabilistic gate-level fault models, Proceedings of the International Workshop on Logic and Synthesis, pp.59-64, 2003.

S. Krishnaswamy, I. L. Markov, and J. P. Hayes, Design, analysis and test of logic circuits under uncertainty, 2013.
DOI : 10.1007/978-90-481-9644-9

J. Galey, R. Norby, and J. P. Roth, Techniques for the diagnosis of switching circuit failures, IEEE Transactions on Communication and Electronics, vol.83, issue.74, pp.509-514, 1964.
DOI : 10.1109/TCOME.1964.6539498

S. Krishnaswamy, G. F. Viamontes, I. L. Markov, and J. P. Hayes, Accurate Reliability Evaluation and Enhancement via Probabilistic Transfer Matrices, Design, Automation and Test in Europe, pp.282-287, 2005.
DOI : 10.1109/DATE.2005.47

URL : https://hal.archives-ouvertes.fr/hal-00181530

L. A. Naviner, M. C. De-vasconcelos, D. T. Franco, and J. Naviner, Ecient computation of logic circuits reliability based on probabilistic transfer matrix, 3rd International Conference on Design and Technology of Integrated Systems in Nanoscale Era, pp.1-4, 2008.

M. De-vasconcelos, D. Franco, and J. Naviner, Ecient computation of logic circuits reliability based on probabilistic transfer matrix, Design and Technology of Integrated Systems in Nanoscale Era, pp.1-4, 2008.

L. A. Naviner and J. Naviner, FIFA: A fault-injection???fault-analysis-based tool for reliability assessment at RTL level, Microelectronics Reliability, vol.51, issue.9-11, pp.1459-1463, 2011.
DOI : 10.1016/j.microrel.2011.06.017

URL : https://hal.archives-ouvertes.fr/hal-00627134

J. Han, E. Taylor, J. Gao, and J. Fortes, Faults, error bounds and reliability of nanoelectronic circuits, Proceedings of IEEE International Conference on Application- Specific Systems, Architecture Processors, ASAP '05, pp.247-253, 2005.

E. Taylor, J. Han, and J. Fortes, Towards accurate and ecient reliability modeling of nano-electronic circuits, Proceedings of the 6th IEEE Conference on Nanotechnology, p.395398, 2006.

J. T. Flaquer, J. Daveau, L. Naviner, and P. Roche, Fast reliability analysis of combinatorial logic circuits using conditional probabilities, Microelectronics Reliability, vol.50, issue.9-11, pp.1215-1218, 2010.
DOI : 10.1016/j.microrel.2010.07.058

N. Mohyuddin, E. Pakbaznia, and M. Pedram, Probabilistic error propagation in a logic circuit using the boolean di?erence calculus, Advanced Techniques in Logic Synthesis, Optimizations and Applications, pp.359-381, 2011.

H. Chen and J. Han, Stochastic computational models for accurate reliability evaluation of logic circuits, Proceedings of the 20th symposium on Great lakes symposium on VLSI, GLSVLSI '10, pp.61-66, 2010.
DOI : 10.1145/1785481.1785497

H. Chen, J. Han, and F. Lombardi, A Transistor-Level Stochastic Approach for Evaluating the Reliability of Digital Nanometric CMOS Circuits, 2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, pp.60-67, 2011.
DOI : 10.1109/DFT.2011.23

W. Ibrahim and V. Beiu, Reliability of NAND-2 CMOS gates from threshold voltage variations, 2009 International Conference on Innovations in Information Technology (IIT), pp.135-139
DOI : 10.1109/IIT.2009.5413631

K. Parker and E. Mccluskey, Probabilistic Treatment of General Combinational Networks, IEEE Transactions on Computers, vol.24, issue.6, pp.668-670, 1975.
DOI : 10.1109/T-C.1975.224279

K. Nikolic, A. Sadek, and M. Forshaw, Architectures for reliable computing with unreliable nanodevices, Proceedings of the 2001 1st IEEE Conference on Nanotechnology. IEEE-NANO 2001 (Cat. No.01EX516), pp.254-259, 2001.
DOI : 10.1109/NANO.2001.966429

J. Volder, The CORDIC Trigonometric Computing Technique, IEEE Transactions on Electronic Computers, vol.8, issue.3, pp.330-334, 1959.
DOI : 10.1109/TEC.1959.5222693

T. Adiono and R. Purba, Scalable pipelined CORDIC architecture design and implementation in FPGA, 2009 International Conference on Electrical Engineering and Informatics, pp.646-649, 2009.
DOI : 10.1109/ICEEI.2009.5254736

K. Maharatna, S. Banerjee, E. Grass, M. Krstic, and A. Troya, Modified virtually scaling-free adaptive CORDIC rotator algorithm and architecture, IEEE Transactions on Circuits and Systems for Video Technology, pp.1463-1474, 2005.
DOI : 10.1109/TCSVT.2005.856908

A. Guyot, B. Hochet, and J. Muller, A way to build ecient carry-skip adders, IEEE Transactions on Computers, vol.36, issue.10, pp.1144-1152, 1987.

P. M. Kogge and H. S. Stone, A parallel algorithm for the ecient solution of a general class of recurrence equations, IEEE Transactions on Computers, vol.100, issue.8, pp.786-793, 1973.

J. Sklansky, Conditional-Sum Addition Logic, IEEE Transactions on Electronic Computers, vol.9, issue.2, pp.226-231, 1960.
DOI : 10.1109/TEC.1960.5219822

R. P. Brent and H. Kung, A Regular Layout for Parallel Adders, IEEE Transactions on Computers, vol.31, issue.3, pp.260-264, 1982.
DOI : 10.1109/TC.1982.1675982

R. Zimmermann, Binary Adder Architectures for Cell-Based VLSI and their Synthesis, 1998.

D. P. Vasudevan, P. K. Lala, and J. P. Parkerson, Self-Checking Carry-Select Adder Design Based on Two-Rail Encoding, IEEE Transactions on Circuits and Systems I: Regular Papers, vol.54, issue.12, pp.2696-2705, 2007.
DOI : 10.1109/TCSI.2007.910537

S. Bayat-sarmadi and M. A. Hasan, Concurrent Error Detection in Finite-Field Arithmetic Operations Using Pipelined and Systolic Architectures, IEEE Transactions on Computers, vol.58, issue.11, pp.1553-1567, 2009.
DOI : 10.1109/TC.2009.62

C. Rusu, A. Bougerol, L. Anghel, C. Weulerse, N. Buard et al., Multiple Event Transient Induced by Nuclear Reactions in CMOS Logic Cells, 13th IEEE International On-Line Testing Symposium (IOLTS 2007), pp.137-145, 2007.
DOI : 10.1109/IOLTS.2007.46

URL : https://hal.archives-ouvertes.fr/hal-00172599

X. Guo and R. Karri, Invariance-based concurrent error detection for advanced encryption standard, Proceedings of the 49th Annual Design Automation Conference on, DAC '12, pp.573-578, 2012.
DOI : 10.1145/2228360.2228463

M. C. De-vasconcelos, D. T. Franco, L. A. Naviner, and J. Naviner, Relevant metrics for evaluation of concurrent error detection schemes, Microelectronics Reliability, vol.48, issue.8-9, pp.1601-1603, 2008.
DOI : 10.1016/j.microrel.2008.07.016

W. Kuo, V. R. Prasad, F. A. Tillman, and C. L. Hwang, Optimal Reliability Design: Fundamentals and Applications, 1999.

T. Ban, J. Wang, T. An, and L. Naviner, Modeling of transient faults and faulttolerant design in nanoelectronics, Proceedings of 56th IEEE International Midwest Symposium on Circuits and Systems (MWSCAS), pp.545-548, 2013.

W. C. Carter and P. R. Schneider, Design of dynamically checked computers, IFIP Congress, pp.878-883, 1968.

S. Krishnaswamy, G. F. Viamontes, I. L. Markov, and J. P. Hayes, Probabilistic transfer matrices in symbolic reliability analysis of logic circuits, ACM Transactions on Design Automation of Electronic Systems, vol.13, issue.1, p.8, 2008.
DOI : 10.1145/1297666.1297674

W. Wang, S. Yang, S. Bhardwaj, R. Vattikonda, S. Vrudhula et al., The impact of NBTI on the performance of combinational and sequential circuits, Proceedings of the 44th annual conference on Design automation, DAC '07, pp.364-369, 2007.
DOI : 10.1145/1278480.1278573

R. Baranowski, A. Cook, M. E. Imhof, C. Liu, and H. Wunderlich, Synthesis of workload monitors for on-line stress prediction, 2013 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS), pp.137-142, 2013.
DOI : 10.1109/DFT.2013.6653596

D. Lorenz, M. Barke, and U. Schlichtmann, Efficiently analyzing the impact of aging effects on large integrated circuits, Microelectronics Reliability, vol.52, issue.8, pp.1546-1552, 2012.
DOI : 10.1016/j.microrel.2011.12.029

H. Cai, H. Petit, and J. Naviner, Reliability aware design of low power continuous-time sigma???delta modulator, Microelectronics Reliability, vol.51, issue.9-11, pp.1449-1453, 2011.
DOI : 10.1016/j.microrel.2011.06.054

R. Banu and T. Vladimirova, On-Board Encryption in Earth Observation Small Satellites, Proceedings 40th Annual 2006 International Carnahan Conference on Security Technology, pp.203-208, 2006.
DOI : 10.1109/CCST.2006.313451

C. Herbst, E. Oswald, and S. Mangard, An AES Smart Card Implementation Resistant to Power Analysis Attacks, Applied Cryptography and Network Security, pp.239-252, 2006.
DOI : 10.1007/11767480_16

C. Giraud, DFA on AES, Advanced Encryption Standard -AES, vol.3373, pp.27-41, 2005.
DOI : 10.1007/11506447_4

G. Piret and J. Quisquater, A di?erential fault attack technique against SPN structures , with application to the AES and KHAZAD, Cryptographic Hardware and Embedded Systems-CHES 2003, pp.77-88, 2003.

P. Maistri, P. Vanhauwaert, and R. Leveugle, A Novel Double-Data-Rate AES Architecture Resistant against Fault Injection, Workshop on Fault Diagnosis and Tolerance in Cryptography (FDTC 2007), pp.54-61, 2007.
DOI : 10.1109/FDTC.2007.8

URL : https://hal.archives-ouvertes.fr/hal-00181356

A. Barenghi, G. Bertoni, L. Breveglieri, M. Pellicioli, and G. Pelosi, Low voltage fault attacks to AES, 2010 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST), pp.7-12, 2010.
DOI : 10.1109/HST.2010.5513121

S. Morioka and A. Satoh, An Optimized S-Box Circuit Architecture for Low Power AES Design, Cryptographic Hardware and Embedded Systems-CHES 2002, pp.271-295, 2003.
DOI : 10.1007/3-540-36400-5_14

X. Zhang and K. Parhi, On the Optimum Constructions of Composite Field for the AES Algorithm, IEEE Transactions on Circuits and Systems II: Express Briefs, vol.53, issue.10, pp.1153-1157, 2006.
DOI : 10.1109/TCSII.2006.882217

N. Ahmad and S. Hasan, Low-power compact composite field AES S-Box/Inv S-Box design in 65nm CMOS using Novel XOR Gate, the VLSI Journal on Integration, 2012.
DOI : 10.1016/j.vlsi.2012.06.002

R. Banu and T. Vladimirova, Fault-Tolerant Encryption for Space Applications, IEEE Transactions on Aerospace and Electronic Systems, vol.45, issue.1, pp.266-279, 2009.
DOI : 10.1109/TAES.2009.4805278

G. Bertoni, L. Breveglieri, I. Koren, P. Maistri, and V. Piuri, Error analysis and detection procedures for a hardware implementation of the advanced encryption standard, IEEE Transactions on Computers, vol.52, issue.4, pp.492-505, 2003.
DOI : 10.1109/TC.2003.1190590

M. Czapski and M. Nikodem, Error detection and error correction procedures for the advanced encryption standard, Designs, Codes and Cryptography, pp.217-232, 2008.
DOI : 10.1007/s10623-008-9192-8

N. Yu and H. M. Heys, A hybrid approach to concurrent error detection for a compact ASIC implementation of the advanced encryption standard, 2007.

G. , D. Natale, M. Doulcier, M. Flottes, and B. Rouzeyre, A reliable architecture for parallel implementations of the advanced encryption standard, Journal of Electronic Testing, vol.25, issue.4, pp.269-278, 2009.
URL : https://hal.archives-ouvertes.fr/lirmm-00423026

A. Satoh, T. Sugawara, N. Homma, and T. Aoki, High-Performance Concurrent Error Detection Scheme for AES Hardware, Cryptographic Hardware and Embedded Systems (CHES), pp.100-112, 2008.
DOI : 10.1007/978-3-540-85053-3_7

F. Rodriguez-henriquez, N. Saqib, and A. Diaz-perez, 4.2???Gbit???s single-chip FPGA implementation of AES algorithm, Electronics Letters, vol.39, issue.15, pp.1115-1116, 2003.
DOI : 10.1049/el:20030746

K. Gaj and P. Chodowiec, FPGA and ASIC implementations of AES Cryptographic engineering, pp.235-294, 2009.

M. Moza?ari-kermani and A. Reyhani-masoleh, Efficient and High-Performance Parallel Hardware Architectures for the AES-GCM, IEEE Transactions on Computers, vol.61, issue.8, pp.1165-1178, 2012.
DOI : 10.1109/TC.2011.125

D. Canright, A Very Compact S-Box for AES, Cryptographic Hardware and Embedded Systems?CHES 2005, pp.441-455, 2005.
DOI : 10.1007/11545262_32

T. An, K. Liu, H. Cai, L. Alves-de-barros, and . Naviner, Accurate reliability analysis of concurrent checking circuits employing an ecient analytical method, Microelectronics Reliability, 2015.

T. An, K. Liu, L. Alves-de-barros, and . Naviner, Ecient implementation for accurate analysis of CED circuits against multiple faults, 21th Mixed Design of Integrated Circuits and Systems, MIXDES, 2014.

T. An, H. Cai, L. Alves-de-barros, and . Naviner, Simulation study of aging in CMOS binary adders, 2014 37th International Convention on Information and Communication Technology, Electronics and Microelectronics (MIPRO), 2014.
DOI : 10.1109/MIPRO.2014.6859531

T. An, K. Liu, L. Alves-de-barros, and . Naviner, Analytical method for reliability assessment of concurrent checking circuits under multiple faults, 2014 37th International Convention on Information and Communication Technology, Electronics and Microelectronics (MIPRO), 2014.
DOI : 10.1109/MIPRO.2014.6859532

T. An, L. Alves-de-barros-naviner, and P. Matherat, A low cost reliable architecture for S-Boxes in AES processors, 2013 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS), pp.155-160, 2013.
DOI : 10.1109/DFT.2013.6653599

URL : https://hal.archives-ouvertes.fr/hal-01024892

T. K. An, C. Liu, L. Hao, P. Alves-de-barros-naviner, and . Matherat, Reliability analysis of combinational circuits with the influences of noise and single-event transients, IEEE Symp. Defect and Fault Tolerance (DFT), pp.218-223, 2013.

T. Ban, J. Wang, T. An, and L. Naviner, Modeling of transient faults and faulttolerant design in nanoelectronics, Circuits and Systems (MWSCAS), 2013 IEEE 56th International Midwest Symposium on, pp.545-548, 2013.

T. K. An, L. Liu, . Alves-de-barros, and . Naviner, A general cost-e?ective design structure for probabilistic-based noise-tolerant logic functions in nanometer CMOS technology, IEEE Eurocon conference, pp.1829-1836, 2013.

T. An, L. Alves-de-barros-naviner, and P. Matherat, Exploring the impact of transient faults on CORDIC processor, Journées Nationales du Réseau Doctoral en Micro-nanoélectronique (JNRDM), 2013.

T. An, L. Alves-de-barros-naviner, and P. Matherat, Evaluation of fault-tolerant composite field AES S-boxes under multiple transient faults, 2013 IEEE 11th International New Circuits and Systems Conference (NEWCAS), pp.1-4, 2013.
DOI : 10.1109/NEWCAS.2013.6573610

URL : https://hal.archives-ouvertes.fr/hal-00973720

T. An, M. Causo, L. Alves-de-barros-naviner, and P. Matherat, Transient fault analysis of CORDIC processor, 2012 19th IEEE International Conference on Electronics, Circuits, and Systems (ICECS 2012), pp.757-760, 2012.
DOI : 10.1109/ICECS.2012.6463644

URL : https://hal.archives-ouvertes.fr/hal-00973764

M. Causo, T. An, L. Alves-de-barros-naviner, and P. Matherat, Parallel scaling-free and area-time ecient CORDIC algorithm, IEEE International Conference on Electronics, Circuits, and Systems (ICECS), pp.149-152, 2012.

R. Zimmermann, Computer arithmetic: Principles, architectures, and VLSI design, available, Online: accessed 10, 1999.

O. Bedrij, Carry-Select Adder, IRE Transactions on Electronic Computers, vol.11, issue.3, pp.340-346, 1962.
DOI : 10.1109/IRETELC.1962.5407919

D. P. Vasudevan and P. K. Lala, A technique for modular design of self-checking carry-select adder, 20th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT'05), pp.325-333, 2005.
DOI : 10.1109/DFTVS.2005.15

J. Daemen and V. Rijmen, Aes proposal: Rijndael, 1998.

D. Hankerson, S. Vanstone, and A. J. Menezes, Guide to elliptic curve cryptography, 2004.

J. Deschamps, G. J. Bioul, and G. D. Sutter, Synthesis of arithmetic circuits: FPGA, ASIC and embedded systems, 2006.
DOI : 10.1002/0471741426