O. Www, International technology roadmap for semiconductors (ITRS), 2015.

O. Www, International technology roadmap for semiconductors (ITRS), 2011.

N. S. Kim, T. Austin, D. Baauw, T. Mudge, K. Flautner et al., Leakage current: Moore's law meets static power, Computer, vol.36, pp.68-75, 2003.

M. N. Baibich, J. M. Broto, A. Fert, F. N. Van-dau, F. Petroff et al., Giant Magnetoresistance of (001)Fe/(001)Cr Magnetic Superlattices, Physical Review Letters, vol.37, issue.21, pp.2472-2475, 1988.
DOI : 10.1002/pssb.2220620122

G. Binasch, P. Grünberg, F. Saurenbach, and W. Zinn, Enhanced magnetoresistance in layered magnetic structures with antiferromagnetic interlayer exchange, Physical Review B, vol.61, issue.7, pp.4828-4830, 1989.
DOI : 10.1103/PhysRevLett.61.2472

M. Julliere, Tunneling between ferromagnetic films, Physics Letters A, vol.54, issue.3, pp.225-226, 1975.
DOI : 10.1016/0375-9601(75)90174-7

T. Miyazaki and N. Tezuka, Giant magnetic tunneling effect in Fe/Al2O3/Fe junction, Journal of Magnetism and Magnetic Materials, vol.139, issue.3, pp.231-234, 1995.
DOI : 10.1016/0304-8853(95)90001-2

J. S. Moodera, L. R. Kinder, T. M. Wong, and R. Meservey, Large Magnetoresistance at Room Temperature in Ferromagnetic Thin Film Tunnel Junctions, Physical Review Letters, vol.27, issue.16
DOI : 10.1103/PhysRevLett.27.1729

D. Wang, C. Nordman, J. M. Daughton, Z. Qian, and J. Fink, 70% TMR at Room Temperature for SDT Sandwich Junctions With CoFeB as Free and Reference Layers, IEEE Transactions on Magnetics, vol.40, issue.4, pp.2269-2271, 2004.
DOI : 10.1109/TMAG.2004.830219

S. Yuasa, T. Nagahama, A. Fukushima, Y. Suzuki, and K. Ando, Giant room-temperature magnetoresistance in single-crystal Fe/MgO/Fe magnetic tunnel junctions, Nature Materials, vol.297, issue.12, pp.868-871, 2004.
DOI : 10.1126/science.1071300

S. Ikeda, J. Hayakawa, Y. Ashizawa, Y. M. Lee, K. Miura et al., Tunnel magnetoresistance of 604% at 300 K by suppression of ta diffusion in CoFeB/MgO/CoFeB pseudo-spin-valves annealed at high temperature, Applied Physics Letters, vol.93, issue.8, 2008.

C. Chappert, A. Fert, and F. N. Van-dau, The emergence of spin electronics in data storage, Nature Materials, vol.96, issue.5, pp.813-823, 2007.
DOI : 10.1016/j.physrep.2005.08.004

W. J. Gallagher and S. S. Parkin, Development of the magnetic tunnel junction MRAM at IBM: From first junctions to a 16-Mb MRAM demonstrator chip, IBM Journal of Research and Development, vol.50, issue.1, pp.5-23, 2006.
DOI : 10.1147/rd.501.0005

J. Nozieres, B. Dieny, O. Redon, R. Sousa, and I. Prejbeanu, Magnetic memory with a magnetic tunnel junction written in a thermally assisted manner, and method for writing the same, 2005.

J. C. Slonczewski, Current-driven excitation of magnetic multilayers, Journal of Magnetism and Magnetic Materials, vol.159, issue.1-2, pp.1-7, 1996.
DOI : 10.1016/0304-8853(96)00062-5

L. Berger, Emission of spin waves by a magnetic multilayer traversed by a current, Physical Review B, vol.33, issue.13, pp.9353-9358, 1996.
DOI : 10.1103/PhysRevB.33.1572

J. Z. Sun, Spin-current interaction with a monodomain magnetic body: A model study, Physical Review B, vol.84, issue.1, pp.570-578, 2000.
DOI : 10.1103/PhysRevLett.84.3149

J. A. Katine, F. J. Albert, R. A. Buhrman, E. B. Myers, and D. C. Ralph, Currentdriven magnetization reversal and spin-wave excitations in Co

S. Ikeda, K. Miura, H. Yamamoto, K. Mizunuma, H. D. Gan et al., A perpendicular-anisotropy CoFeB???MgO magnetic tunnel junction, Nature Materials, vol.92, issue.9, pp.721-724, 2010.
DOI : 10.1038/nmat2804

T. Devolder, J. Hayakawa, K. Ito, H. Takahashi, S. Ikeda et al., Single-Shot Time-Resolved Measurements of Nanosecond-Scale Spin-Transfer Induced Switching: Stochastic Versus Deterministic Aspects, Physical Review Letters, vol.100, issue.5, p.57206, 2008.
DOI : 10.1063/1.1852191

H. Cai, Fiabilisation de convertisseurs analogique-numériquè a modulation Sigma- Delta, Thèse de doctorat dirigée par Naviner, 2013.

R. C. Sousa, I. L. Prejbeanu, D. Stanescu, B. Rodmacq, O. Redon et al., Tunneling hot spots and heating in magnetic tunnel junctions, Journal of Applied Physics, vol.84, issue.11, pp.6783-6785, 2004.
DOI : 10.1063/1.1428111

W. Oepts, H. J. Verhagen, W. J. De-jonge, and R. Coehoorn, Dielectric breakdown of ferromagnetic tunnel junctions, Applied Physics Letters, vol.40, issue.16, pp.2363-2365, 1998.
DOI : 10.1063/1.364819

B. Dieny, V. S. Speriosu, S. S. Parkin, B. A. Gurney, D. R. Wilhoit et al., Giant magnetoresistive in soft ferromagnetic multilayers, Physical Review B, vol.55, issue.1, pp.1297-1300, 1991.
DOI : 10.1103/PhysRevLett.55.1790

G. A. Prinz, Magnetoelectronics, Science, vol.282, issue.5394, pp.1660-1663, 1998.
DOI : 10.1126/science.282.5394.1660

S. A. Wolf, D. D. Awschalom, R. A. Buhrman, J. M. Daughton, S. Von-molnár et al., Spintronics: A Spin-Based Electronics Vision for the Future, Science, vol.294, issue.5546, pp.1488-1495, 2001.
DOI : 10.1126/science.1065389

Z. Wang, Modélisation compacte et conception de circuitàcircuità base de jonction tunnel ferroélectrique et de jonction tunnel magnétique exploitant le transfert de spin assisté par effet Hall de spin, Thèse de doctorat dirigée par Klein, 2015.

I. L. Prejbeanu, M. Kerekes, R. C. Sousa, H. Sibuet, O. Redon et al., Thermally assisted MRAM, Journal of Physics: Condensed Matter, vol.19, issue.16, p.165218, 2007.
DOI : 10.1088/0953-8984/19/16/165218

J. Xiao, A. Zangwill, and M. D. Stiles, Macrospin models of spin transfer dynamics, Physical Review B, vol.23, issue.1, p.14446, 2005.
DOI : 10.1103/PhysRev.67.351

T. L. Gilbert, A lagrangian formulation of the gyromagnetic equation of the magnetization field, Phys. Rev, vol.100, p.1243, 1955.

J. L. Beaujour, D. B. Bedau, H. Liu, M. R. Rogosky, and A. D. Kent, Spintransfer in nanopillars with a perpendicularly magnetized spin polarizer, SPIE Proceedings, p.73980, 2009.

D. Ralph and M. Stiles, Spin transfer torques, Journal of Magnetism and Magnetic Materials, vol.320, issue.7, p.2508, 2009.
DOI : 10.1016/j.jmmm.2007.12.019

J. Deak, Thermomagnetically assisted spin-momentum-transfer switching memory, p.50805862, 2008.

L. Liu, O. J. Lee, T. J. Gudmundsen, D. C. Ralph, and R. A. Buhrman, Current-Induced Switching of Perpendicularly Magnetized Magnetic Layers Using Spin Torque from the Spin Hall Effect, Physical Review Letters, vol.109, issue.9, p.96602, 2012.
DOI : 10.1103/PhysRevLett.104.137205

L. Liu, C. Pai, Y. Li, H. W. Tseng, D. C. Ralph et al., Spin-Torque Switching with the Giant Spin Hall Effect of Tantalum, Science, vol.93, issue.16, pp.555-558, 2012.
DOI : 10.1103/PhysRevLett.93.166603

J. E. Hirsch, Spin Hall Effect, Physical Review Letters, vol.68, issue.9, pp.1834-1837, 1999.
DOI : 10.1103/PhysRevLett.68.1383

W. Zhao, S. Chaudhuri, C. Accoto, J. O. Klein, C. Chappert et al., Cross-Point Architecture for Spin-Transfer Torque Magnetic Random Access Memory, IEEE Transactions on Nanotechnology, vol.11, issue.5, pp.907-917, 2012.
DOI : 10.1109/TNANO.2012.2206051

S. Yu and P. Y. Chen, Emerging Memory Technologies: Recent Trends and Prospects, IEEE Solid-State Circuits Magazine, vol.8, issue.2, pp.43-56, 2016.
DOI : 10.1109/MSSC.2016.2546199

E. Linn, R. Rosezin, C. Kugeler, and R. Waser, Complementary resistive switches for passive nanocrossbar memories, Nature Materials, vol.5, issue.5, pp.403-406, 2010.
DOI : 10.1063/1.1823026

M. Hosomi, H. Yamagishi, T. Yamamoto, K. Bessho, Y. Higo et al., A novel nonvolatile memory with spin torque transfer magnetization switching: spin-ram, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest., pp.459-462, 2005.
DOI : 10.1109/IEDM.2005.1609379

T. Endoh, H. Koike, S. Ikeda, T. Hanyu, and H. Ohno, An Overview of Nonvolatile Emerging Memories??? Spintronics for Working Memories, IEEE Journal on Emerging and Selected Topics in Circuits and Systems, vol.6, issue.2, pp.109-119, 2016.
DOI : 10.1109/JETCAS.2016.2547704

W. H. Kautz, Cellular Logic-in-Memory Arrays, IEEE Transactions on Computers, vol.18, issue.8, pp.719-727, 1969.
DOI : 10.1109/T-C.1969.222754

S. Matsunaga, J. Hayakawa, S. Ikeda, K. Miura, T. Endoh et al., MTJ-based nonvolatile logic-in-memory circuit, future prospects and issues, 2009 Design, Automation & Test in Europe Conference & Exhibition, pp.433-435, 2009.
DOI : 10.1109/DATE.2009.5090704

S. Ikeda, J. Hayakawa, Y. M. Lee, F. Matsukura, Y. Ohno et al., Magnetic Tunnel Junctions for Spintronic Memories and Beyond, IEEE Transactions on Electron Devices, vol.54, issue.5, pp.991-1002, 2007.
DOI : 10.1109/TED.2007.894617

E. Deng, Y. Zhang, J. O. Klein, D. Ravelsona, C. Chappert et al., Low Power Magnetic Full-Adder Based on Spin Transfer Torque MRAM, IEEE Transactions on Magnetics, vol.49, issue.9, pp.4982-4987, 2013.
DOI : 10.1109/TMAG.2013.2245911

Y. Gang, W. Zhao, J. Klein, C. Chappert, and P. Mazoyer, A High-Reliability, Low-Power Magnetic Full Adder, IEEE Transactions on Magnetics, vol.47, issue.11, pp.4611-4616, 2011.
DOI : 10.1109/TMAG.2011.2150238

W. Zhao, E. Belhaire, C. Chappert, F. Jacquet, and P. Mazoyer, New non-volatile logic based on spin-MTJ, physica status solidi (a), vol.286, issue.6, pp.1373-1377, 2008.
DOI : 10.1016/0304-8853(95)90001-2

W. Zhao, C. Chappert, V. Javerliac, and J. P. Noziere, High Speed, High Stability and Low Power Sensing Amplifier for MTJ/CMOS Hybrid Logic Circuits, IEEE Transactions on Magnetics, vol.45, issue.10, pp.3784-3787, 2009.
DOI : 10.1109/TMAG.2009.2024325

Z. M. Zeng, P. Khalili-amiri, J. A. Katine, J. Langer, K. L. Wang et al., Nanoscale magnetic tunnel junction sensors with perpendicular anisotropy sensing layer, Applied Physics Letters, vol.101, issue.6, 2012.
DOI : 10.1021/nn301222v

S. Cardoso, D. C. Leitao, L. Gameiro, F. Cardoso, R. Ferreira et al., Magnetic tunnel junction sensors with pTesla sensitivity, Microsystem Technologies, pp.793-802, 2014.
DOI : 10.1063/1.1522130

B. Behin-aein, D. Datta, S. Salahuddin, and S. Datta, Proposal for an all-spin logic device with built-in memory, Nature Nanotechnology, vol.50, issue.4, pp.266-270, 2010.
DOI : 10.1016/j.jmmm.2007.12.019

J. Kim, A. Paul, P. A. Crowell, S. J. Koester, S. S. Sapatnekar et al., Spin-based computing: Device concepts, current status, and a case study on a high-performance microprocessor, Proceedings of the IEEE, vol.103, pp.106-130, 2015.

O. Www, International technology roadmap for semiconductors (ITRS), 2011.

G. Gielen, P. De-wit, E. Maricau, J. Loeckx, J. Martin-martinez et al., Emerging yield and reliability challenges in nanometer CMOS technologies, Proc. Design, Automation and Test, pp.1322-1327, 2008.

J. Li, C. Augustine, S. Salahuddin, and K. Roy, Modeling of failure probability and statistical design of spin-torque transfer magnetic random access memory (STT MRAM) array for yield enhancement, Proceedings of the 45th annual conference on Design automation, DAC '08, 2008.
DOI : 10.1145/1391469.1391540

J. F. Kong, K. Eason, K. P. Tan, and R. Sbiaa, Parameter variation investigation of magnetic tunnel junctions, pp.1-2, 2012.

A. Vatankhahghadim, S. Huda, and A. Sheikholeslami, A Survey on Circuit Modeling of Spin-Transfer-Torque Magnetic Tunnel Junctions, IEEE Transactions on Circuits and Systems I: Regular Papers, vol.61, issue.9, pp.2634-2643, 2014.
DOI : 10.1109/TCSI.2014.2332247

E. Y. Chen, R. Whig, J. M. Slaughter, D. Cronk, J. Goggin et al., Comparison of oxidation methods for magnetic tunnel junction material, Journal of Applied Physics, vol.87, issue.9, pp.6061-6063, 2000.
DOI : 10.1063/1.365499

M. Natsui, T. Nagashima, and T. Hanyu, Process-Variation-Resilient OTA Using MTJ-based Multi-level Resistance Control, 2012 IEEE 42nd International Symposium on Multiple-Valued Logic, pp.214-219, 2012.
DOI : 10.1109/ISMVL.2012.52

W. S. Zhao, Y. Zhang, T. , J. O. Klein, D. Ravelosona et al., Failure and reliability analysis of STT-MRAM, Microelectronics Reliability, vol.52, issue.9-10, pp.1848-1852, 2012.
DOI : 10.1016/j.microrel.2012.06.035

. Ohno, A disturbance-free read scheme and a compact stochastic-spin-dynamicsbased MTJ circuit model for Gb-scale SPRAM, 2009 IEEE International Electron Devices Meeting (IEDM), pp.1-4, 2009.

T. Devolder, C. Chappert, and K. Ito, Subnanosecond spin-transfer switching: Comparing the benefits of free-layer or pinned-layer biasing, Physical Review B, vol.432, issue.22, p.224430, 2007.
DOI : 10.1103/PhysRevB.75.064402

M. Marins-de-castro, R. C. Sousa, S. Bandiera, C. Ducruet, A. Chavent et al., Precessional spin-transfer switching in a magnetic tunnel junction with a synthetic antiferromagnetic perpendicular polarizer, Journal of Applied Physics, vol.111, issue.7, pp.7-912, 2012.
DOI : 10.1063/1.1590740

H. Tomita, S. Miwa, T. Nozaki, S. Yamashita, T. Nagase et al., Unified understanding of both thermally assisted and precessional spin-transfer switching in perpendicularly magnetized giant magnetoresistive nanopillars, Applied Physics Letters, vol.102, issue.4, 2013.
DOI : 10.1038/nature04207

J. Z. Sun, R. P. Robertazzi, J. Nowak, P. L. Trouilloud, G. Hu et al., Effect of subvolume excitation and spin-torque efficiency on magnetic switching, Physical Review B, vol.84, issue.6, p.64413, 2011.
DOI : 10.1088/0953-8984/14/49/201

H. Zhao, Y. Zhang, P. K. Amiri, J. A. Katine, J. Langer et al., Spin-Torque Driven Switching Probability Density Function Asymmetry, IEEE Transactions on Magnetics, vol.48, issue.11, pp.3818-3820, 2012.
DOI : 10.1109/TMAG.2012.2197815

L. B. Faber, W. Zhao, J. O. Klein, T. Devolder, and C. Chappert, Dynamic compact model of Spin-Transfer Torque based Magnetic Tunnel Junction (MTJ), 2009 4th International Conference on Design & Technology of Integrated Systems in Nanoscal Era, pp.130-135, 2009.
DOI : 10.1109/DTIS.2009.4938040

H. Lim, S. Lee, and H. Shin, Advanced circuit-level model for temperature-sensitive read/write operation of a magnetic tunnel junction, IEEE Transactions on Electron Devices, vol.62, pp.666-672, 2015.

S. Chatterjee, S. Salahuddin, S. Kumar, and S. Mukhopadhyay, Impact of Self-Heating on Reliability of a Spin-Torque-Transfer RAM Cell, IEEE Transactions on Electron Devices, vol.59, issue.3, pp.791-799, 2012.
DOI : 10.1109/TED.2011.2180726

J. J. Kan, Engineering of metallic multilayers and spin transfer torque devices, UC San Diego: Materials science and engineering, no. b8163492, 2014.

A. A. Khan, J. Schmalhorst, A. Thomas, O. Schebaum, and G. Reiss, Dielectric breakdown in Co???Fe???B/MgO/Co???Fe???B magnetic tunnel junction, Journal of Applied Physics, vol.103, issue.12, 2008.
DOI : 10.1063/1.1636255

S. Amara-dababi, R. C. Sousa, M. Chshiev, H. Bea, J. Alvarez-herault et al., Charge trapping-detrapping mechanism of barrier breakdown in MgO magnetic tunnel junctions, Applied Physics Letters, vol.99, issue.8, 2011.
DOI : 10.1063/1.3430064

K. Hosotani, M. Nagamine, T. Ueda, H. Aikawa, S. Ikegawa et al., Effect of Self-Heating on Time-Dependent Dielectric Breakdown in Ultrathin MgO Magnetic Tunnel Junctions for Spin Torque Transfer Switching Magnetic Random Access Memory, Japanese Journal of Applied Physics, vol.49, issue.4, 2010.
DOI : 10.1143/JJAP.49.04DD15

D. V. Dimitrov, Z. Gao, X. Wang, W. Jung, X. Lou et al., Dielectric breakdown of MgO magnetic tunnel junctions, Applied Physics Letters, vol.2005, issue.12, 2009.
DOI : 10.1038/nmat1257

C. Yoshida, M. Kurasawa, Y. M. Lee, K. Tsunoda, M. Aoki et al., A study of dielectric breakdown mechanism in CoFeB/MgO/CoFeB magnetic tunnel junction, 2009 IEEE International Reliability Physics Symposium, pp.139-142, 2009.
DOI : 10.1109/IRPS.2009.5173239

B. Oliver, Q. He, X. Tang, and J. Nowak, Dielectric breakdown in magnetic tunnel junctions having an ultrathin barrier, Journal of Applied Physics, vol.89, issue.7, pp.4348-4352, 2002.
DOI : 10.1063/1.1356708

K. Kim, Y. M. Jang, C. H. Nam, K. Lee, and B. K. Cho, Stress polarity dependence of breakdown characteristics in magnetic tunnel junctions, Journal of Applied Physics, vol.1994, issue.8, 2006.
DOI : 10.1063/1.368936

S. Y. Kim, G. Panagopoulos, C. Ho, M. Katoozi, E. Cannon et al., A compact SPICE model for statistical post-breakdown gate current increase due to TDDB, Reliability Physics Symposium (IRPS), 2013 IEEE International, pp.2-2, 2013.

C. Ho, G. Panagopoulos, S. Y. Kim, Y. Kim, D. Lee et al., A physicsbased statistical model for reliability of STT-MRAM considering oxide variability, Simulation of Semiconductor Processes and Devices (SISPAD), 2013 International Conference on, pp.29-32, 2013.

Y. Zhang, W. S. Zhao, Y. Lakys, J. O. Klein, J. Kim et al., Compact Modeling of Perpendicular-Anisotropy CoFeB/MgO Magnetic Tunnel Junctions, IEEE Transactions on Electron Devices, vol.59, issue.3, pp.819-826, 2012.
DOI : 10.1109/TED.2011.2178416

G. D. Panagopoulos, C. Augustine, and K. Roy, Physics-Based SPICE-Compatible Compact Model for Simulating Hybrid MTJ/CMOS Circuits, IEEE Transactions on Electron Devices, vol.60, issue.9, pp.2808-2814, 2013.
DOI : 10.1109/TED.2013.2275082

W. Zhao, X. Zhao, B. Zhang, K. Cao, L. Wang et al., Failure Analysis in Magnetic Tunnel Junction Nanopillar with Interfacial Perpendicular Magnetic Anisotropy, Materials, vol.15, issue.1, p.41, 2016.
DOI : 10.1109/JETCAS.2014.2374291

H. X. Yang, M. Chshiev, B. Dieny, J. H. Lee, A. Manchon et al., MgO interfaces, Physical Review B, vol.57, issue.5, p.54401, 2011.
DOI : 10.1109/TMAG.2005.854763

URL : https://hal.archives-ouvertes.fr/hal-01576684

F. Gan, H. Matsukura, and . Ohno, Dependence of magnetic anisotropy on MgO thickness and buffer layer in Co 20 F e 60 B 20 ? M gO structure, Journal of Applied Physics, vol.109, issue.7, pp.7-712, 2011.

M. Gottwald, J. J. Kan, K. Lee, X. Zhu, C. Park et al., Scalable and thermally robust perpendicular magnetic tunnel junctions for STT-MRAM, Applied Physics Letters, vol.106, issue.3, p.32413, 2015.
DOI : 10.1038/srep05328

H. Maehara, K. Nishimura, Y. Nagamine, K. Tsunekawa, T. Seki et al., Annealing of Ultra-Thin MgO Tunnel Barrier, Applied Physics Express, vol.4, issue.3, p.33002
DOI : 10.1143/APEX.4.033002

E. Chen, B. Schwarz, C. J. Choi, W. Kula, J. Wolfman et al., Magnetic tunnel junction pattern technique, Journal of Applied Physics, vol.27, issue.10, pp.8379-8381, 2003.
DOI : 10.1109/20.133875

M. Nakayama, T. Kai, N. Shimomura, M. Amano, E. Kitagawa et al., Spin transfer switching in

/. Cofe, /. Cofeb, and . Cofeb, TbCoFe magnetic tunnel junctions with perpendicular magnetic anisotropy, Journal of Applied Physics, vol.103, issue.7, pp.7-710, 2008.

J. G. Alzate, P. Khalili-amiri, G. Yu, P. Upadhyaya, J. A. Katine et al., Temperature dependence of the voltage-controlled perpendicular anisotropy in nanoscale MgO|CoFeB|Ta magnetic tunnel junctions, Applied Physics Letters, vol.104, issue.11, p.112410, 2014.
DOI : 10.1063/1.4773342

R. H. Koch, J. A. Katine, and J. Z. Sun, Time-Resolved Reversal of Spin-Transfer Switching in a Nanomagnet, Physical Review Letters, vol.425, issue.8, p.88302, 2004.
DOI : 10.1103/PhysRevLett.90.207201

D. H. Lee and S. H. Lim, Increase of temperature due to Joule heating during current-induced magnetization switching of an MgO-based magnetic tunnel junction, Applied Physics Letters, vol.92, issue.23, 2008.
DOI : 10.1103/PhysRevLett.92.088302

W. F. Brinkman, R. C. Dynes, and J. M. Rowell, Tunneling Conductance of Asymmetrical Barriers, Journal of Applied Physics, vol.41, issue.5, pp.1915-1921, 1970.
DOI : 10.1103/PhysRev.180.658

G. D. Fuchs, I. N. Krivorotov, P. M. Braganca, N. C. Emley, A. G. Garcia et al., Adjustable spin torque in magnetic tunnel junctions with two fixed layers, Applied Physics Letters, vol.86, issue.15, 2005.
DOI : 10.1103/PhysRevB.62.570

D. C. Worledge, G. Hu, D. W. Abraham, J. Z. Sun, P. L. Trouilloud et al., Spin torque switching of perpendicular Ta???CoFeB???MgO-based magnetic tunnel junctions, Applied Physics Letters, vol.98, issue.2, 2011.
DOI : 10.1016/0375-9601(75)90174-7

R. Heindl, W. H. Rippard, S. E. Russek, M. R. Pufall, and A. B. Kos, Validity of the thermal activation model for spin-transfer torque switching in magnetic tunnel junctions, Journal of Applied Physics, vol.109, issue.7, 2011.
DOI : 10.1103/PhysRevLett.100.246602

H. Tomita, T. Nozaki, T. Seki, T. Nagase, K. Nishiyama et al., High-Speed Spin-Transfer Switching in GMR Nano-Pillars With Perpendicular Anisotropy, IEEE Transactions on Magnetics, vol.47, issue.6, pp.1599-1602, 2011.
DOI : 10.1109/TMAG.2011.2105860

Y. Wang, Y. Zhang, E. Y. Deng, J. O. Klein, L. Naviner et al., Compact model of magnetic tunnel junction with stochastic spin transfer torque switching for reliability analyses, Microelectronics Reliability, vol.54, issue.9-10, pp.1774-1778, 2014.
DOI : 10.1016/j.microrel.2014.07.019

URL : https://hal.archives-ouvertes.fr/hal-01216414

V. Drewello, J. Schmalhorst, A. Thomas, and G. Reiss, Evidence for strong magnon contribution to the TMR temperature dependence in MgO based tunnel junctions, Physical Review B, vol.54, issue.1, p.14440, 2008.
DOI : 10.1016/0038-1098(73)90754-0

R. Takemura, T. Kawahara, K. Miura, H. Yamamoto, J. Hayakawa et al., A 32-Mb SPRAM With 2T1R Memory Cell, Localized Bi-Directional Write Driver and `1'/`0' Dual-Array Equalized Reference Scheme, IEEE Journal of Solid-State Circuits, vol.45, issue.4, pp.869-879, 2010.
DOI : 10.1109/JSSC.2010.2040120

M. Schafers, V. Drewello, G. Reiss, A. Thomas, K. Thiel et al., Electric breakdown in ultrathin MgO tunnel barrier junctions for spin-transfer torque switching, Applied Physics Letters, vol.2005, issue.23, 2009.
DOI : 10.1063/1.373292

J. W. Mcpherson, Time dependent dielectric breakdown physics ??? Models revisited, Microelectronics Reliability, vol.52, issue.9-10, pp.1753-1760, 2012.
DOI : 10.1016/j.microrel.2012.06.007

B. Oliver, G. Tuttle, Q. He, X. Tang, and J. Nowak, Two breakdown mechanisms in ultrathin alumina barrier magnetic tunnel junctions, Journal of Applied Physics, vol.37, issue.3, pp.1315-1322, 2004.
DOI : 10.1063/1.368217

S. Amara-dababi, H. Bea, R. Sousa, K. Mackay, and B. Dieny, Modelling of time-dependent dielectric barrier breakdown mechanisms in MgO-based magnetic tunnel junctions, Journal of Physics D: Applied Physics, vol.45, issue.29, p.295002, 2012.
DOI : 10.1088/0022-3727/45/29/295002

URL : https://hal.archives-ouvertes.fr/cea-00852049

W. Zhao, Conception, evaluation and development of the non-volatile programmable logic circuits using the Magnetic Tunnel Junction (MTJ), Thèse de doctorat dirigée par Belhaire, Eric et Chappert, 2008.

G. J. Coram, How to (and how not to) write a compact model in Verilog-A, 2004 IEEE International Conference on Cluster Computing (IEEE Cat. No.04EX935), pp.97-106, 2004.
DOI : 10.1109/BMAS.2004.1393990

T. Min, Q. Chen, R. Beach, G. Jan, H. Cheng et al., A Study of Write Margin of Spin Torque Transfer Magnetic Random Access Memory Technology, IEEE Transactions on Magnetics, vol.46, issue.6, pp.2322-2327, 2010.
DOI : 10.1109/TMAG.2010.2043069

H. Mostafa and Y. Ismail, Process Variation Aware Design of Multi-Valued Spintronic Memristor-Based Memory Arrays, IEEE Transactions on Semiconductor Manufacturing, vol.29, issue.2, pp.145-152, 2016.
DOI : 10.1109/TSM.2016.2551224

V. Veetil, D. Sylvester, and D. Blaauw, Efficient Monte Carlo based incremental statistical timing analysis, Proceedings of the 45th annual conference on Design automation, DAC '08, pp.676-681, 2008.
DOI : 10.1145/1391469.1391645

C. H. Lin, M. V. Dunga, D. D. Lu, A. M. Niknejad, and C. Hu, Performance-Aware Corner Model for Design for Manufacturing, IEEE Transactions on Electron Devices, vol.56, issue.4, 2009.
DOI : 10.1109/TED.2008.2011845

S. K. Saha, Compact MOSFET Modeling for Process Variability-Aware VLSI Circuit Design, IEEE Access, vol.2, pp.104-115, 2014.
DOI : 10.1109/ACCESS.2014.2304568

M. B. Yelten, P. D. Franzon, and M. B. Steer, Surrogate-Model-Based Analysis of Analog Circuits???Part I: Variability Analysis, IEEE Transactions on Device and Materials Reliability, vol.11, issue.3, pp.458-465, 2011.
DOI : 10.1109/TDMR.2011.2160062

Y. L. Chen, W. R. Wu, C. N. Liu, and J. C. Li, Simultaneous Optimization of Analog Circuits With Reliability and Variability for Applications on Flexible Electronics, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.33, issue.1, pp.24-35, 2014.
DOI : 10.1109/TCAD.2013.2282757

H. Cai, Y. Wang, L. A. De-barros-naviner, and W. Zhao, Low power magnetic flipflop optimization with FDSOI technology boost, IEEE Transactions on Magnetics, vol.52, issue.8, p.3401807, 2016.

Y. Wang, H. Cai, L. A. De-barros-naviner, and W. Zhao, A non-Monte-Carlo Methodology for Variability Analysis of Magnetic Tunnel Junction Based Circuits, IEEE Transactions on Magnetics, 2017.
DOI : 10.1109/TMAG.2016.2638913

B. Cheng, D. Dideban, N. Moezi, C. Millar, G. Roy et al., Statistical-Variability Compact-Modeling Strategies for BSIM4 and PSP, IEEE Design & Test of Computers, vol.27, issue.2, pp.26-35, 2010.
DOI : 10.1109/MDT.2010.53

D. Chabi, W. Zhao, E. Deng, Y. Zhang, N. B. Romdhane et al., Ultra Low Power Magnetic Flip-Flop Based on Checkpointing/Power Gating and Self-Enable Mechanisms, IEEE Transactions on Circuits and Systems I: Regular Papers, vol.61, issue.6, pp.1755-1765, 2014.
DOI : 10.1109/TCSI.2013.2295026

Y. Wang, H. Cai, L. Naviner, Y. Zhang, J. Klein et al., Compact thermal modeling of spin transfer torque magnetic tunnel junction, Microelectronics Reliability, vol.55, issue.9-10, pp.9-10, 2015.
DOI : 10.1016/j.microrel.2015.06.029

URL : https://hal.archives-ouvertes.fr/hal-01216389

F. Ahmed and L. Milor, Analysis and on-chip monitoring of gate oxide breakdown in SRAM cells Very Large Scale Integration (VLSI) Systems, IEEE Trans. on, vol.20, pp.855-864, 2012.

S. Cheffah, V. Huard, R. Chevallier, and A. Bravaix, Soft Oxide Breakdown impact on the functionality of a 40 nm SRAM memory, 2011 International Reliability Physics Symposium, 2011.
DOI : 10.1109/IRPS.2011.5784561

M. Saliva, F. Cacho, V. Huard, D. Angot, X. Federspiel et al., New insights about oxide breakdown occurrence at circuit level, 2014 IEEE International Reliability Physics Symposium, pp.2-5, 2014.
DOI : 10.1109/IRPS.2014.6860597

H. Nan and K. Choi, TDDB Monitoring and Compensation Circuit Design for Deeply Scaled CMOS Technology, Device and Materials Reliability, pp.18-25, 2013.
DOI : 10.1109/TDMR.2011.2167624

S. Knebel, S. Kupke, U. Schroeder, S. Slesazeck, T. Mikolajick et al., Influence of Frequency Dependent Time to Breakdown on High-K/Metal Gate Reliability, IEEE Transactions on Electron Devices, vol.60, issue.7, pp.2368-2371, 2013.
DOI : 10.1109/TED.2013.2264104

M. Saliva, F. Cacho, C. Ndiaye, V. Huard, D. Angot et al., Impact of gate oxide breakdown in logic gates from 28nm FDSOI CMOS technology, 2015 IEEE International Reliability Physics Symposium, 2015.
DOI : 10.1109/IRPS.2015.7112782

URL : https://hal.archives-ouvertes.fr/hal-01400593

R. Rodriguez, R. Joshi, J. Stathis, and C. Chuang, Oxide breakdown model and its impact on SRAM cell functionality, International Conference on Simulation of Semiconductor Processes and Devices, 2003. SISPAD 2003., pp.283-286, 2003.
DOI : 10.1109/SISPAD.2003.1233692

H. Cai, Y. Wang, L. A. Naviner, and W. Zhao, Breakdown Analysis of Magnetic Flip-Flop With 28-nm UTBB FDSOI Technology, IEEE Transactions on Device and Materials Reliability, vol.16, issue.3, pp.376-383, 2016.
DOI : 10.1109/TDMR.2016.2584140

Y. Wang, H. Cai, L. A. Naviner, Y. Zhang, X. Zhao et al., Compact Model of Dielectric Breakdown in Spin-Transfer Torque Magnetic Tunnel Junction, IEEE Transactions on Electron Devices, vol.63, issue.4, pp.1762-1767, 2016.
DOI : 10.1109/TED.2016.2533438

URL : https://hal.archives-ouvertes.fr/hal-01318736

T. Ohsawa, S. Ikeda, T. Hanyu, H. Ohno, and T. Endoh, Trend of tunnel magnetoresistance and variation in threshold voltage for keeping data load robustness of metal???oxide???semiconductor/magnetic tunnel junction hybrid latches, Journal of Applied Physics, vol.2007, issue.17, pp.17-728, 2014.
DOI : 10.1143/JJAP.48.043001

S. Saxena, C. Hess, H. Karbasi, A. Rossoni, S. Tonello et al., Variation in Transistor Performance and Leakage in Nanometer-Scale Technologies, IEEE Transactions on Electron Devices, vol.55, issue.1, pp.131-144, 2008.
DOI : 10.1109/TED.2007.911351

K. J. Kuhn, Considerations for Ultimate CMOS Scaling, IEEE Transactions on Electron Devices, vol.59, issue.7, pp.1813-1828, 2012.
DOI : 10.1109/TED.2012.2193129

H. Cai, Y. Wang, W. Zhao, L. De-barros, and . Naviner, Multiplexing Sense-Amplifier-Based Magnetic Flip-Flop in a 28-nm FDSOI Technology, IEEE Transactions on Nanotechnology, vol.14, issue.4, pp.761-767, 2015.
DOI : 10.1109/TNANO.2015.2438017

I. Kazi, P. Meinerzhagen, P. E. Gaillardon, D. Sacchetto, Y. Leblebici et al., Energy/Reliability Trade-Offs in Low-Voltage ReRAM-Based Non-Volatile Flip-Flop Design, IEEE Transactions on Circuits and Systems I: Regular Papers, vol.61, issue.11, pp.3155-3164, 2014.
DOI : 10.1109/TCSI.2014.2334891

K. Ryu, J. Kim, J. Jung, J. P. Kim, S. H. Kang et al., A Magnetic Tunnel Junction Based Zero Standby Leakage Current Retention Flip-Flop, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.2044-2053, 2012.
DOI : 10.1109/TVLSI.2011.2172644

Y. Wang, H. Cai, L. Naviner, X. Zhao, Y. Zhang et al., A process-variation-resilient methodology of circuit design by using asymmetrical forward body bias in 28nm FDSOI, Microelectronics Reliability, vol.64, pp.26-30, 2016.
DOI : 10.1016/j.microrel.2016.07.073

URL : https://hal.archives-ouvertes.fr/hal-01371736

T. Skotnicki, C. Fenouillet-beranger, C. Gallon, F. Buf, S. Monfray et al., Innovative Materials, Devices, and CMOS Technologies for Low-Power Mobile Multimedia, IEEE Transactions on Electron Devices, vol.55, issue.1, pp.96-130, 2008.
DOI : 10.1109/TED.2007.911338

N. Planes, O. Weber, V. Barral, S. Haendler, D. Noblet et al., 28nm FDSOI technology platform for high-speed low-voltage digital applications, 2012 Symposium on VLSI Technology (VLSIT), pp.133-134, 2012.
DOI : 10.1109/VLSIT.2012.6242497

P. Magarshack, P. Flatresse, and G. Cesana, UTBB FD-SOI: A Process/Design Symbiosis for Breakthrough Energy-efficiency, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2013, pp.952-957, 2013.
DOI : 10.7873/DATE.2013.200

S. Vitale, P. Wyatt, N. Checka, J. Kedzierski, and C. Keast, FDSOI Process Technology for Subthreshold-Operation Ultralow-Power Electronics, Proceedings of the IEEE, pp.333-342, 2010.
DOI : 10.1109/JPROC.2009.2034476

Y. Yang, S. Markov, B. Cheng, A. Zain, X. Liu et al., Back-Gate Bias Dependence of the Statistical Variability of FDSOI MOSFETs With Thin BOX, IEEE Transactions on Electron Devices, vol.60, issue.2, pp.739-745, 2013.
DOI : 10.1109/TED.2012.2233203

H. Cai, H. Petit, and J. Naviner, Reliability aware design of low power continuous-time sigma???delta modulator, Microelectronics Reliability, vol.51, issue.9-11, pp.1449-1453, 2011.
DOI : 10.1016/j.microrel.2011.06.054

E. Maricau and G. Gielen, Computer-aided analog circuit design for reliability in nanometer CMOS Emerging and Selected Topics in Circuits and Systems, IEEE Journal on, vol.1, pp.50-58, 2011.

T. Ishigaki, R. Tsuchiya, Y. Morita, N. Sugii, and S. Kimura, Effects of Device Structure and Back Biasing on HCI and NBTI in Silicon-on-Thin-BOX (SOTB) CMOSFET, IEEE Transactions on Electron Devices, vol.58, issue.4, pp.1197-1204, 2011.
DOI : 10.1109/TED.2011.2107520

H. Cai, Y. Wang, L. Naviner, and W. Zhao, Ultra wide voltage range consideration of reliability-aware STT magnetic flip-flop in 28 nm FDSOI technology, Microelectronics Reliability, vol.55, issue.9-10, pp.9-10, 2015.
DOI : 10.1016/j.microrel.2015.06.023

H. Cai, Y. Wang, L. A. Naviner, and W. Zhao, Robust ultra-low power non-volatile logic-in-memory circuits in FDSOI technology, IEEE Transactions on Circuits and Systems I: Regular Papers, vol.PP, issue.99, pp.1-11, 2016.

Y. Wang, H. Cai, L. A. Naviner, J. O. Klein, J. Yang et al., A novel circuit design of true random number generator using magnetic tunnel junction, 2016 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH), pp.123-128, 2016.
URL : https://hal.archives-ouvertes.fr/hal-01371756

M. Bucci, L. Germani, R. Luzzi, A. Trifiletti, and M. Varanonuovo, A high-speed oscillator-based truly random number source for cryptographic applications on a smartcard IC, IEEE Transactions on Computers, vol.52, issue.4, pp.403-409, 2003.
DOI : 10.1109/TC.2003.1190581

R. F. Coates, G. J. Janacek, and K. V. Lever, Monte Carlo simulation and random number generation, IEEE Journal on Selected Areas in Communications, vol.6, issue.1, pp.58-66, 1988.
DOI : 10.1109/49.192730

K. Yang, D. Fick, M. B. Henry, Y. Lee, D. Blaauw et al., 16.3 A 23Mb/s 23pJ/b fully synthesized true-random-number generator in 28nm and 65nm CMOS, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), p.135
DOI : 10.1109/ISSCC.2014.6757434

URL : https://hal.archives-ouvertes.fr/inserm-00409781

N. Liu, N. Pinckney, S. Hanson, D. Sylvester, and D. Blaauw, A true random number generator using time-dependent dielectric breakdown, VLSI Circuits (VLSIC), 2011 Symposium on, pp.216-217, 2011.

J. Rajendran, R. Karri, J. B. Wendt, M. Potkonjak, N. Mcdonald et al., Nano Meets Security: Exploring Nanoelectronic Devices for Security Applications, Proceedings of the IEEE, vol.103, issue.5, pp.829-849, 2015.
DOI : 10.1109/JPROC.2014.2387353

X. Fong, Y. Kim, K. Yogendra, D. Fan, A. Sengupta et al., Spin-Transfer Torque Devices for Logic and Memory: Prospects and Perspectives, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.35, issue.1, pp.1-22, 2016.
DOI : 10.1109/TCAD.2015.2481793

A. Fukushima, T. Seki, K. Yakushiji, H. Kubota, H. Imamura et al., Spin dice: A scalable truly random number generator based on spintronics, Applied Physics Express, vol.7, issue.8, p.83001, 2014.
DOI : 10.7567/APEX.7.083001

Y. Wang, W. Wen, H. Li, and M. Hu, A Novel True Random Number Generator Design Leveraging Emerging Memristor Technology, Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLSVLSI '15, pp.271-276, 2015.
DOI : 10.1007/s00339-011-6279-2

X. Fong, M. C. Chen, and K. Roy, Generating true random numbers using on-chip complementary polarizer spin-transfer torque magnetic tunnel junctions, 72nd Device Research Conference, pp.103-104, 2014.
DOI : 10.1109/DRC.2014.6872318

S. Oosawa, T. Konishi, N. Onizawa, and T. Hanyu, Design of an STT-MTJ based true random number generator using digitally controlled probability-locked loop, 2015 IEEE 13th International New Circuits and Systems Conference (NEWCAS), pp.1-4, 2015.
DOI : 10.1109/NEWCAS.2015.7182089

K. Lee, T. Kim, X. Zhu, D. Jacobson, R. Madala et al., Magnetic tunnel junction based random number generator, p.954, 2014.

E. I. Vatajelu, G. D. Natale, and P. Prinetto, Security primitives (PUF and TRNG) with STT-MRAM, 2016 IEEE 34th VLSI Test Symposium (VTS), pp.1-4, 2016.
DOI : 10.1109/VTS.2016.7477292

URL : https://hal.archives-ouvertes.fr/lirmm-01374573

J. Mazurier, O. Weber, F. Andrieu, A. Toffoli, O. Rozeau et al., On the Variability in Planar FDSOI Technology: From MOSFETs to SRAM Cells, IEEE Transactions on Electron Devices, vol.58, issue.8, pp.2326-2336, 2011.
DOI : 10.1109/TED.2011.2157162

G. Cesana, The FD-SOI technology for very high-speed and energy efficient SoCs. STMicroelectronics, 2014.

E. Deng, Y. Zhang, W. Kang, B. Dieny, J. O. Klein et al., Synchronous 8-bit Non-Volatile Full-Adder based on Spin Transfer Torque Magnetic Tunnel Junction, IEEE Transactions on Circuits and Systems I: Regular Papers, vol.62, issue.7, pp.1757-1765, 2015.
DOI : 10.1109/TCSI.2015.2423751

URL : https://hal.archives-ouvertes.fr/hal-01589783

W. Zhao, M. Moreau, E. Deng, Y. Zhang, J. M. Portal et al., Synchronous Non-Volatile Logic Gate Design Based on Resistive Switching Memories, IEEE Transactions on Circuits and Systems I: Regular Papers, vol.61, issue.2, pp.443-454, 2014.
DOI : 10.1109/TCSI.2013.2278332

URL : https://hal.archives-ouvertes.fr/hal-01743999

J. Soto, The NIST Statistical Test Suite. National Institute Of Standards and Technology, 2010.

L. A. De-barros-naviner, H. Cai, Y. Wang, W. Zhao, and A. B. Dhia, Stochastic computation with Spin Torque Transfer Magnetic Tunnel Junction, 2015 IEEE 13th International New Circuits and Systems Conference (NEWCAS), pp.1-4, 2015.
DOI : 10.1109/NEWCAS.2015.7182031

B. R. Gaines, Stochastic computing, Proceedings of the April 18-20, 1967, spring joint computer conference on, AFIPS '67 (Spring), pp.149-156, 1967.
DOI : 10.1145/1465482.1465505

N. Saraf, K. Bazargan, D. Lilja, and M. Riedel, Iir filters using stochastic arithmetic, Design, Automation and Test in Europe Conference and Exhibition (DATE), pp.1-6, 2014.
DOI : 10.7873/date2014.086

B. Moons and M. Verhelst, Energy-efficiency and accuracy of stochastic computing circuits in emerging technologies Emerging and Selected Topics in Circuits and Systems, IEEE Journal on, vol.4, pp.475-486, 2014.

A. Alaghi, C. Li, and J. Hayes, Stochastic circuits for real-time image-processing applications, Proceedings of the 50th Annual Design Automation Conference on, DAC '13, pp.1-6, 2013.
DOI : 10.1145/2463209.2488901

W. Qian, X. Li, M. Riedel, K. Bazargan, and D. Lilja, An Architecture for Fault-Tolerant Computation with Stochastic Logic, IEEE Transactions on Computers, vol.60, issue.1, pp.93-105, 2011.
DOI : 10.1109/TC.2010.202

J. Han, H. Chen, J. Liang, P. Zhu, Z. Yang et al., A Stochastic Computational Approach for Accurate and Efficient Reliability Evaluation, IEEE Transactions on Computers, vol.63, issue.6, pp.1336-1350, 2014.
DOI : 10.1109/TC.2012.276

N. Locatelli, V. Cros, and J. Grollier, Spin-torque building blocks, Nature Materials, vol.324, issue.1, pp.1476-1122, 2014.
DOI : 10.1016/S0764-4469(01)01377-4

URL : http://arxiv.org/pdf/1401.0874

K. Ryu, J. Kim, J. Jung, J. Kim, S. Kang et al., A magnetic tunnel junction based zero standby leakage current retention flip-flop Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.20, pp.2044-2053, 2012.

N. Sakimura, T. Sugibayashi, R. Nebashi, and N. Kasai, Nonvolatile magnetic flipflop for standby-power-free socs Solid-State Circuits, IEEE Journal, vol.44, pp.2244-2250, 2009.

W. Qian, X. Li, M. Riedel, K. Bazargan, and D. Lilja, An Architecture for Fault-Tolerant Computation with Stochastic Logic, IEEE Transactions on Computers, vol.60, issue.1, pp.93-105, 2011.
DOI : 10.1109/TC.2010.202

R. Xiao and C. Chen, Towards Power Optimization and Implementation of Probabilistic Circuits Using Single-Electron Technology, IEEE Transactions on Nanotechnology, vol.14, issue.3, pp.513-523, 2015.
DOI : 10.1109/TNANO.2015.2414352

N. R. Shanbhag, R. A. Abdallah, R. Kumar, and D. L. Jones, Stochastic computation, Proceedings of the 47th Design Automation Conference on, DAC '10, pp.47-859, 2010.
DOI : 10.1145/1837274.1837491

J. Han and M. Orshansky, Approximate computing: An emerging paradigm for energy-efficient design, 2013 18TH IEEE EUROPEAN TEST SYMPOSIUM (ETS), pp.1-6, 2013.
DOI : 10.1109/ETS.2013.6569370

S. Venkataramani, S. T. Chakradhar, K. Roy, and A. Raghunathan, Approximate computing and the quest for computing efficiency, Proceedings of the 52nd Annual Design Automation Conference on, DAC '15, pp.1-120, 2015.
DOI : 10.1109/ICCAD.2011.6105401

Z. Yang, A. Jain, J. Liang, J. Han, and F. Lombardi, Approximate XOR/XNORbased adders for inexact computing, 13th IEEE Conference on, pp.690-693, 2013.
DOI : 10.1109/nano.2013.6720793

M. Natsui, D. Suzuki, N. Sakimura, R. Nebashi, Y. Tsuji et al., Nonvolatile logic-in-memory LSI using cycle-based power gating and its application to motion-vector prediction Solid-State Circuits, IEEE Journal, vol.50, pp.476-489, 2015.

K. Huang, R. Zhao, and Y. Lian, A low power and high sensing margin non-volatile full adder using racetrack memory Circuits and Systems I: Regular Papers, IEEE Transactions on, vol.62, pp.1109-1116, 2015.

A. Ranjan, S. Venkataramani, X. Fong, K. Roy, and A. Raghunathan, Approximate storage for energy efficient spintronic memories, Proceedings of the 52nd Annual Design Automation Conference on, DAC '15, pp.1-195, 2015.
DOI : 10.1103/PhysRevB.62.570

J. Liang, J. Han, and F. Lombardi, New Metrics for the Reliability of Approximate and Probabilistic Adders, IEEE Transactions on Computers, vol.62, issue.9, pp.1760-1771, 2013.
DOI : 10.1109/TC.2012.146

J. Lin, Y. Hwang, M. Sheu, and C. Ho, A novel high-speed and energy efficient 10-transistor full adder design Circuits and Systems I: Regular Papers, IEEE Transactions on, vol.54, issue.5, pp.1050-1059, 2007.

B. Nikolic, M. Blagojevic, O. Thomas, P. Flatresse, and A. Vladimirescu, Circuit design in nanoscale FDSOI technologies, 2014 29th International Conference on Microelectronics Proceedings, MIEL 2014, pp.3-6, 2014.
DOI : 10.1109/MIEL.2014.6842076

O. Thomas, B. Zimmer, S. Toh, L. Ciampolini, N. Planes et al., Dynamic single-p-well SRAM bitcell characterization with
DOI : 10.1109/iedm.2014.7046973

Y. Wang, H. Cai, L. Naviner, and W. Zhao, A non-Monte-Carlo Methodology for Variability Analysis of Magnetic Tunnel Junction Based Circuits, IEEE Transactions on Magnetics, vol.53, issue.3, p.2017
DOI : 10.1109/TMAG.2016.2638913

H. Cai, Y. Wang, L. Naviner, and W. Zhao, Robust Ultra-Low Power Non-Volatile Logic-in-Memory Circuits in FD-SOI Technology, IEEE Transactions on Circuits and Systems I: Regular Papers, vol.64, issue.4, p.2016
DOI : 10.1109/TCSI.2016.2621344

Y. Wang, H. Cai, L. Naviner, Y. Zhang, X. Zhao et al., Compact Model of Dielectric Breakdown in Spin-Transfer Torque Magnetic Tunnel Junction, IEEE Transactions on Electron Devices, vol.63, issue.4, pp.1762-1767, 2016.
DOI : 10.1109/TED.2016.2533438

URL : https://hal.archives-ouvertes.fr/hal-01318736

Y. Wang, H. Cai, L. Naviner, Y. Zhang, X. Zhao et al., A process-variation-resilient methodology of circuit design by using asymmetrical forward body bias in 28nm FDSOI, Microelectronics Reliability, vol.64, pp.26-30, 2016.
DOI : 10.1016/j.microrel.2016.07.073

URL : https://hal.archives-ouvertes.fr/hal-01371736

H. Cai, K. Liu, L. Naviner, Y. Wang, M. Slimani et al., Efficient reliability evaluation methodologies for combinational circuits, Microelectronics Reliability, vol.64, pp.19-25, 2016.
DOI : 10.1016/j.microrel.2016.07.116

M. Slimani, P. Butzen, L. Naviner, Y. Wang, and H. Cai, Reliability analysis of hybrid spin transfer torque magnetic tunnel junction/CMOS majority voters, Microelectronics Reliability, vol.64, pp.48-53, 2016.
DOI : 10.1016/j.microrel.2016.07.074

H. Cai, Y. Wang, W. Zhao, and L. Naviner, Breakdown Analysis of Magnetic Flip-flop With 28nm UTBB FDSOI Technology, IEEE Trans. Device and Materials Reliability, vol.2016, issue.8, pp.52-3401807, 2016.

H. Cai, Y. Wang, W. Zhao, and L. Naviner, Low Power Magnetic Flip-Flop Optimization With FDSOI Technology Boost, IEEE Transactions on Magnetics, vol.52, issue.8, p.52, 2016.
DOI : 10.1109/TMAG.2016.2542790

Y. Kang, Y. Zhang, J. Wang, L. Klein, D. Naviner et al., Failure Analysis in Magnetic Tunnel Junction Nanopillar with Interfacial Perpendicular Magnetic Anisotropy, Materials, vol.2016, issue.1, pp.9-41

Y. Wang, H. Cai, L. Naviner, Y. Zhang, J. Klein et al., Compact thermal modeling of spin transfer torque magnetic tunnel junction, Microelectronics Reliability, vol.55, issue.9-10, pp.1649-1653, 2015.
DOI : 10.1016/j.microrel.2015.06.029

URL : https://hal.archives-ouvertes.fr/hal-01216389

P. Butzen, M. Slimani, Y. Wang, H. Cai, and L. Naviner, Reliable majority voter based on spin transfer torque magnetic tunnel junction device, Electronics Letters, vol.52, issue.1, pp.47-49, 2015.
DOI : 10.1049/el.2015.2738

H. Cai, Y. Wang, W. Zhao, and L. Naviner, Multiplexing Sense-Amplifier-Based Magnetic Flip-Flop in a 28-nm FDSOI Technology, IEEE Transactions on Nanotechnology, vol.14, issue.4, pp.761-767, 2015.
DOI : 10.1109/TNANO.2015.2438017

H. Cai, Y. Wang, W. Zhao, and L. Naviner, Ultra wide voltage range consideration of reliability-aware STT magnetic flip-flop in 28 nm FDSOI technology, Microelectronics Reliability, vol.55, issue.9-10, pp.1323-1327, 2015.
DOI : 10.1016/j.microrel.2015.06.023

H. Cai, Y. Wang, K. Liu, L. Naviner, H. Petit et al., Cross-layer investigation of continuous-time sigma???delta modulator under aging effects, Microelectronics Reliability, vol.55, issue.3-4, pp.645-653, 2015.
DOI : 10.1016/j.microrel.2014.11.015

Y. Wang, Y. Zhang, E. Deng, J. Klein, L. Naviner et al., Compact model of magnetic tunnel junction with stochastic spin transfer torque switching for reliability analyses, Microelectronics Reliability, vol.54, issue.9-10, pp.1774-1778, 2014.
DOI : 10.1016/j.microrel.2014.07.019

URL : https://hal.archives-ouvertes.fr/hal-01216414

Y. Wang, H. Cai, L. Naviner, J. Yang, J. Klein et al., A novel circuit design of true random number generator using magnetic tunnel junction, IEEE/ACM NANOARCH, pp.18-20, 2016.
URL : https://hal.archives-ouvertes.fr/hal-01371756

Y. Wang, H. Cai, L. Naviner, Y. Zhang, X. Zhao et al., A process-variation-resilient methodology of circuit design by using asymmetrical forward body bias in 28nm FDSOI, Microelectronics Reliability, vol.64, p.2016
DOI : 10.1016/j.microrel.2016.07.073

URL : https://hal.archives-ouvertes.fr/hal-01371736

H. Cai, Y. Wang, L. Naviner, and W. Zhao, Approximate computing in MOS/Spintronic Non-Volatile Full-Adder, IEEE/ACM NANOARCH, pp.18-20, 2016.

Y. Wang, H. Cai, L. Naviner, Y. Zhang, J. Klein et al., Compact thermal modeling of spin transfer torque magnetic tunnel junction, Microelectronics Reliability, vol.55, issue.9-10, p.2015
DOI : 10.1016/j.microrel.2015.06.029

URL : https://hal.archives-ouvertes.fr/hal-01216389

E. Deng, Y. Wang, Z. Wang, J. Klein, B. Dieny et al., Robust Magnetic Full-Adder with Voltage Sensing 2T2MTJ Cell, IEEE/ACM NANOARCH, 2015.

L. Naviner, H. Cai, Y. Wang, and W. Zhao, Stochastic Computation With Spin Torque Transfer Magnetic Tunnel Junction, IEEE NEWCAS, pp.7-10, 2015.

Y. Wang, H. Cai, L. Naviner, and W. Zhao, Reliability analyse based on a compact model of spin transfer torque magnetic tunnel junction, JNRDM2015, 2015.

Y. Wang, Y. Zhang, E. Deng, J. Klein, L. Naviner et al., Compact model of magnetic tunnel junction with stochastic spin transfer torque switching for reliability analyses, Microelectronics Reliability, vol.54, issue.9-10
DOI : 10.1016/j.microrel.2014.07.019

URL : https://hal.archives-ouvertes.fr/hal-01216414